📄 state_machine.vhd
字号:
---------------------------------------- entity = state_machine ---- version = 1.0 ---- last update = 20/06/05 ---- author = Jose Nunez ------------------------------------------ main control unit for the sorting processlibrary IEEE;use IEEE.std_logic_1164.all;use IEEE.Numeric_STD.all;entity state_machine is port ( clk : in std_logic; clear : in std_logic; reset : in std_logic; nrdy : in std_logic; -- enable active low lt : in std_logic; -- less than active eq : in std_logic; srd : out std_logic_vector(2 downto 0); -- address to read register file swr : out std_logic_vector(2 downto 0); -- address to write register file inp : out std_logic; -- select input for register file enwr : out std_logic; -- enable write register file nack : out std_logic -- done when low );end; architecture struct of state_machine is-- add code hereend;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -