📄 addc.vhd
字号:
--------------------------------------------------------------------------------- Title : Parallel-prefix adder with carry-in, carry-out-- Project : VHDL Library of Arithmetic Units--------------------------------------------------------------------------------- File : AddC.vhd-- Author : Reto Zimmermann <zimmi@iis.ee.ethz.ch>-- Company : Integrated Systems Laboratory, ETH Zurich-- Date : 1997/11/04--------------------------------------------------------------------------------- Copyright (c) 1998 Integrated Systems Laboratory, ETH Zurich--------------------------------------------------------------------------------- Description :-- Binary adder using parallel-prefix carry-lookahead logic with:-- - carry-in (CI)-- - carry-out (CO)-------------------------------------------------------------------------------library ieee;use ieee.std_logic_1164.all;library synergy; use synergy.signed_arith.all;library arith_lib;use arith_lib.arith_lib.all;-------------------------------------------------------------------------------entity AddC is generic (width : positive := 8; -- word width speed : speedType := fast); -- performance parameter port (A, B : in std_logic_vector(width-1 downto 0); -- operands CI : in std_logic; -- carry in S : out std_logic_vector(width-1 downto 0); -- sum CO : out std_logic); -- carry outend AddC;-------------------------------------------------------------------------------architecture Behavioral of AddC is signal Auns, Buns, CIuns, Suns : unsigned(width downto 0); -- unsignedbegin -- type conversion: std_logic_vector -> unsigned Auns <= conv_unsigned(A, width+1); Buns <= conv_unsigned(B, width+1); CIuns <= (0 => CI, others => '0'); -- addition Suns <= Auns + Buns + CIuns; -- type conversion: unsigned -> std_logic_vector S <= std_logic_vector(Suns(width-1 downto 0)); CO <= Suns(width);end Behavioral;-------------------------------------------------------------------------------architecture Structural of AddC is signal GI, PI : std_logic_vector(width-1 downto 0); -- prefix gen./prop. in signal GO, PO : std_logic_vector(width-1 downto 0); -- prefix gen./prop. out signal PT : std_logic_vector(width-1 downto 0); -- adder propagate tempbegin -- calculate prefix input generate/propagate signal (0) GI(0) <= (A(0) and B(0)) or (A(0) and CI) or (B(0) and CI); PI(0) <= '0'; -- calculate adder propagate signal (0) (PT = A xor B) PT(0) <= A(0) xor B(0); -- calculate prefix input generate/propagate signals (1 to width-1) preproc : for i in width-1 downto 1 generate GI(i) <= A(i) and B(i); PI(i) <= A(i) or B(i); -- calculate adder propagate signal (1 to width-1) (PT = A xor B) PT(i) <= not GI(i) and PI(i); end generate preproc; -- calculate prefix output generate/propagate signals prefix : PrefixAndOr generic map (width, speed) port map (GI, PI, GO, PO); -- calculate sum and carry-out bits S <= PT xor GO(width-2 downto 0) & CI; CO <= GO(width-1);end Structural;-------------------------------------------------------------------------------
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -