⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 bench.vhdl

📁 FM收音机的解码及控制器VHDL语言实现
💻 VHDL
字号:
-- $Id: bench.vhdl,v 1.1.1.1 2005/01/04 02:06:01 arif_endro Exp $-- **************************************************************-- Arif E. Nugroho-- **************************************************************library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_arith.ALL;use IEEE.STD_LOGIC_unsigned.ALL;entity bench is--  port (--   clock : out bit;--   fmout : out bit;--   reset : out bit;-- );end bench;architecture structural of bench is  component fm  port (    CLK              : in  bit;    RESET            : in  bit;    FMIN             : in  bit_vector (07 downto 0);    DMOUT            : out bit_vector (11 downto 0)    );  end component;    component input  port (    clock_out        : out bit;    test_signal_fm   : out bit_vector (07 downto 0);    test_signal_fmTri: out bit_vector (07 downto 0);    signal_fm_bit    : out bit;    signal_fmTri_bit : out bit    );  end component;  signal clock       : bit;  signal reset       : bit;  signal signal_fm   : bit;  signal signal_fmTri: bit;  signal test_signal_fm : bit_vector (07 downto 0);  signal test_signal_fmTri : bit_vector (07 downto 0);  signal output_fm   : bit_vector (11 downto 0);  begin  reset <= '0';  myinput : input   port map (    clock_out        => clock,    test_signal_fm   => test_signal_fm,    test_signal_fmTri=> test_signal_fmTri,    signal_fm_bit    => signal_fm,    signal_fmTri_bit => signal_fmTri    );  myfm : fm   port map (    CLK                  => clock,    RESET                => reset,    FMIN                 => test_signal_fm,    DMOUT (11 downto 0)  => output_fm    );end structural;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -