⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 input_fm.vhdl

📁 FM收音机的解码及控制器VHDL语言实现
💻 VHDL
📖 第 1 页 / 共 5 页
字号:
-- $Id: input_fm.vhdl,v 1.1.1.1 2005/01/04 02:05:56 arif_endro Exp $--------------------------------------------------------------------------------- Title       : Input signal FM-- Project     : FM Receiver --------------------------------------------------------------------------------- File        : input_fm.vhdl-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>-- Created     : 2004/12/22-- Last update : -- Simulators  : Modelsim 6.0-- Synthesizers: -- Target      : --------------------------------------------------------------------------------- Description : Input signal FM 1000 signal--------------------------------------------------------------------------------- Copyright (c) 2004 Arif E. Nugroho-- This VHDL design file is an open design; you can redistribute it and/or-- modify it and/or implement it after contacting the author-------------------------------------------------------------------------------library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all;entity input_fm is   port (--   clear              : in  bit;--   clock_out          : out bit;--   counter            : in bit_vector (09 downto 0);   clock              : in  bit;   clear              : in  bit;   test_signal_fm     : out bit_vector (07 downto 0);   test_signal_fmTri  : out bit_vector (07 downto 0)   );end input_fm;architecture input_data of input_fm iscomponent adder_10bit	port (	addend_10bit          : in  bit_vector (09 downto 0);	augend_10bit          : in  bit_vector (09 downto 0);	adder10_output        : out bit_vector (10 downto 0)	);end component;-- signal clock                 : bit;-- signal clear                 : bit;signal test_signal_fm_int    : bit_vector (07 downto 0);signal test_signal_fmTri_int : bit_vector (07 downto 0);signal counter               : bit_vector (09 downto 0);signal counter_tmp           : bit_vector (10 downto 0);signal one_increment         : bit_vector (09 downto 0);-- signal counter               : bit_vector (09 downto 0);begin    one_increment (00) <= '1';    one_increment (01) <= '0';    one_increment (02) <= '0';    one_increment (03) <= '0';    one_increment (04) <= '0';    one_increment (05) <= '0';    one_increment (06) <= '0';    one_increment (07) <= '0';    one_increment (08) <= '0';    one_increment (09) <= '0';-- process-- 	variable delay_time : time := 1ns; -- 	begin-- 	wait for delay_time;--	clock <= not(clock);-- 	clock <= '0';-- 	clock <= '1';-- end process;-- process--	variable run_time : time := 1024ns;--	begin--	wait for run_time;--	clear <= '1';--	exit;-- end process;counter_one : adder_10bit    port map (    addend_10bit   => counter,    augend_10bit   => one_increment,    adder10_output => counter_tmp    );    process (clock, clear)begin--    if (clear = '1') then--		counter (09 downto 0) <= (others => B"0000000000");--    elsif (((clock = '1') and clock'event) and (not(clear = '1')) then    if (((clock = '1') and (not( clear = '1'))) and clock'event) then		counter(09 downto 0) <= counter_tmp(09 downto 0);		-- clock_out         <= clock;		test_signal_fm    <= test_signal_fm_int;		test_signal_fmTri <= test_signal_fmTri_int;    elsif (clear = '1') then    		counter           <= (others => '0');		test_signal_fm    <= (others => '0');		test_signal_fmTri <= (others => '0');    end if;end process;	with counter (09 downto 0) select	test_signal_fm_int <=-- START INPUT FM SIGNAL	B"01111111" when B"0000000000",  -- INDEX 0	B"01110110" when B"0000000001",  -- INDEX 1	B"01011010" when B"0000000010",  -- INDEX 2	B"00110000" when B"0000000011",  -- INDEX 3	B"11111110" when B"0000000100",  -- INDEX 4	B"11001101" when B"0000000101",  -- INDEX 5	B"10100011" when B"0000000110",  -- INDEX 6	B"10001000" when B"0000000111",  -- INDEX 7	B"10000000" when B"0000001000",  -- INDEX 8	B"10001100" when B"0000001001",  -- INDEX 9	B"10101001" when B"0000001010",  -- INDEX 10	B"11010100" when B"0000001011",  -- INDEX 11	B"00000110" when B"0000001100",  -- INDEX 12	B"00110111" when B"0000001101",  -- INDEX 13	B"01011111" when B"0000001110",  -- INDEX 14	B"01111001" when B"0000001111",  -- INDEX 15	B"01111111" when B"0000010000",  -- INDEX 16	B"01110011" when B"0000010001",  -- INDEX 17	B"01010100" when B"0000010010",  -- INDEX 18	B"00101000" when B"0000010011",  -- INDEX 19	B"11110110" when B"0000010100",  -- INDEX 20	B"11000101" when B"0000010101",  -- INDEX 21	B"10011110" when B"0000010110",  -- INDEX 22	B"10000110" when B"0000010111",  -- INDEX 23	B"10000001" when B"0000011000",  -- INDEX 24	B"10001111" when B"0000011001",  -- INDEX 25	B"10101111" when B"0000011010",  -- INDEX 26	B"11011100" when B"0000011011",  -- INDEX 27	B"00001110" when B"0000011100",  -- INDEX 28	B"00111110" when B"0000011101",  -- INDEX 29	B"01100101" when B"0000011110",  -- INDEX 30	B"01111011" when B"0000011111",  -- INDEX 31	B"01111111" when B"0000100000",  -- INDEX 32	B"01101111" when B"0000100001",  -- INDEX 33	B"01001110" when B"0000100010",  -- INDEX 34	B"00100000" when B"0000100011",  -- INDEX 35	B"11101110" when B"0000100100",  -- INDEX 36	B"10111110" when B"0000100101",  -- INDEX 37	B"10011001" when B"0000100110",  -- INDEX 38	B"10000100" when B"0000100111",  -- INDEX 39	B"10000010" when B"0000101000",  -- INDEX 40	B"10010011" when B"0000101001",  -- INDEX 41	B"10110110" when B"0000101010",  -- INDEX 42	B"11100100" when B"0000101011",  -- INDEX 43	B"00010110" when B"0000101100",  -- INDEX 44	B"01000101" when B"0000101101",  -- INDEX 45	B"01101001" when B"0000101110",  -- INDEX 46	B"01111101" when B"0000101111",  -- INDEX 47	B"01111110" when B"0000110000",  -- INDEX 48	B"01101011" when B"0000110001",  -- INDEX 49	B"01000111" when B"0000110010",  -- INDEX 50	B"00011000" when B"0000110011",  -- INDEX 51	B"11100110" when B"0000110100",  -- INDEX 52	B"10111000" when B"0000110101",  -- INDEX 53	B"10010100" when B"0000110110",  -- INDEX 54	B"10000010" when B"0000110111",  -- INDEX 55	B"10000011" when B"0000111000",  -- INDEX 56	B"10011000" when B"0000111001",  -- INDEX 57	B"10111100" when B"0000111010",  -- INDEX 58	B"11101011" when B"0000111011",  -- INDEX 59	B"00011110" when B"0000111100",  -- INDEX 60	B"01001100" when B"0000111101",  -- INDEX 61	B"01101110" when B"0000111110",  -- INDEX 62	B"01111111" when B"0000111111",  -- INDEX 63	B"01111100" when B"0001000000",  -- INDEX 64	B"01100110" when B"0001000001",  -- INDEX 65	B"01000000" when B"0001000010",  -- INDEX 66	B"00010001" when B"0001000011",  -- INDEX 67	B"11011110" when B"0001000100",  -- INDEX 68	B"10110001" when B"0001000101",  -- INDEX 69	B"10010000" when B"0001000110",  -- INDEX 70	B"10000001" when B"0001000111",  -- INDEX 71	B"10000101" when B"0001001000",  -- INDEX 72	B"10011100" when B"0001001001",  -- INDEX 73	B"11000011" when B"0001001010",  -- INDEX 74	B"11110011" when B"0001001011",  -- INDEX 75	B"00100110" when B"0001001100",  -- INDEX 76	B"01010010" when B"0001001101",  -- INDEX 77	B"01110010" when B"0001001110",  -- INDEX 78	B"01111111" when B"0001001111",  -- INDEX 79	B"01111010" when B"0001010000",  -- INDEX 80	B"01100001" when B"0001010001",  -- INDEX 81	B"00111001" when B"0001010010",  -- INDEX 82	B"00001001" when B"0001010011",  -- INDEX 83	B"11010111" when B"0001010100",  -- INDEX 84	B"10101011" when B"0001010101",  -- INDEX 85	B"10001101" when B"0001010110",  -- INDEX 86	B"10000000" when B"0001010111",  -- INDEX 87	B"10001000" when B"0001011000",  -- INDEX 88	B"10100010" when B"0001011001",  -- INDEX 89	B"11001010" when B"0001011010",  -- INDEX 90	B"11111011" when B"0001011011",  -- INDEX 91	B"00101101" when B"0001011100",  -- INDEX 92	B"01011000" when B"0001011101",  -- INDEX 93	B"01110101" when B"0001011110",  -- INDEX 94	B"01111111" when B"0001011111",  -- INDEX 95	B"01110111" when B"0001100000",  -- INDEX 96	B"01011100" when B"0001100001",  -- INDEX 97	B"00110010" when B"0001100010",  -- INDEX 98	B"00000001" when B"0001100011",  -- INDEX 99	B"11001111" when B"0001100100",  -- INDEX 100	B"10100101" when B"0001100101",  -- INDEX 101	B"10001001" when B"0001100110",  -- INDEX 102	B"10000000" when B"0001100111",  -- INDEX 103	B"10001011" when B"0001101000",  -- INDEX 104	B"10100111" when B"0001101001",  -- INDEX 105	B"11010010" when B"0001101010",  -- INDEX 106	B"00000100" when B"0001101011",  -- INDEX 107	B"00110101" when B"0001101100",  -- INDEX 108	B"01011110" when B"0001101101",  -- INDEX 109	B"01111000" when B"0001101110",  -- INDEX 110	B"01111111" when B"0001101111",  -- INDEX 111	B"01110100" when B"0001110000",  -- INDEX 112	B"01010110" when B"0001110001",  -- INDEX 113	B"00101010" when B"0001110010",  -- INDEX 114	B"11111000" when B"0001110011",  -- INDEX 115	B"11001000" when B"0001110100",  -- INDEX 116	B"10100000" when B"0001110101",  -- INDEX 117	B"10000111" when B"0001110110",  -- INDEX 118	B"10000000" when B"0001110111",  -- INDEX 119	B"10001110" when B"0001111000",  -- INDEX 120	B"10101101" when B"0001111001",  -- INDEX 121	B"11011001" when B"0001111010",  -- INDEX 122	B"00001100" when B"0001111011",  -- INDEX 123	B"00111100" when B"0001111100",  -- INDEX 124	B"01100011" when B"0001111101",  -- INDEX 125	B"01111011" when B"0001111110",  -- INDEX 126	B"01111111" when B"0001111111",  -- INDEX 127	B"01110000" when B"0010000000",  -- INDEX 128	B"01010000" when B"0010000001",  -- INDEX 129	B"00100011" when B"0010000010",  -- INDEX 130	B"11110000" when B"0010000011",  -- INDEX 131	B"11000001" when B"0010000100",  -- INDEX 132	B"10011011" when B"0010000101",  -- INDEX 133	B"10000100" when B"0010000110",  -- INDEX 134	B"10000001" when B"0010000111",  -- INDEX 135	B"10010010" when B"0010001000",  -- INDEX 136	B"10110100" when B"0010001001",  -- INDEX 137	B"11100001" when B"0010001010",  -- INDEX 138	B"00010100" when B"0010001011",  -- INDEX 139	B"01000011" when B"0010001100",  -- INDEX 140	B"01101000" when B"0010001101",  -- INDEX 141	B"01111101" when B"0010001110",  -- INDEX 142	B"01111110" when B"0010001111",  -- INDEX 143	B"01101100" when B"0010010000",  -- INDEX 144	B"01001001" when B"0010010001",  -- INDEX 145	B"00011011" when B"0010010010",  -- INDEX 146	B"11101001" when B"0010010011",  -- INDEX 147	B"10111010" when B"0010010100",  -- INDEX 148	B"10010110" when B"0010010101",  -- INDEX 149	B"10000010" when B"0010010110",  -- INDEX 150	B"10000011" when B"0010010111",  -- INDEX 151	B"10010110" when B"0010011000",  -- INDEX 152	B"10111010" when B"0010011001",  -- INDEX 153	B"11101001" when B"0010011010",  -- INDEX 154	B"00011011" when B"0010011011",  -- INDEX 155	B"01001010" when B"0010011100",  -- INDEX 156	B"01101100" when B"0010011101",  -- INDEX 157	B"01111110" when B"0010011110",  -- INDEX 158	B"01111101" when B"0010011111",  -- INDEX 159	B"01101000" when B"0010100000",  -- INDEX 160	B"01000010" when B"0010100001",  -- INDEX 161	B"00010011" when B"0010100010",  -- INDEX 162	B"11100001" when B"0010100011",  -- INDEX 163	B"10110011" when B"0010100100",  -- INDEX 164	B"10010010" when B"0010100101",  -- INDEX 165	B"10000001" when B"0010100110",  -- INDEX 166	B"10000100" when B"0010100111",  -- INDEX 167	B"10011011" when B"0010101000",  -- INDEX 168	B"11000001" when B"0010101001",  -- INDEX 169	B"11110001" when B"0010101010",  -- INDEX 170	B"00100011" when B"0010101011",  -- INDEX 171	B"01010000" when B"0010101100",  -- INDEX 172	B"01110000" when B"0010101101",  -- INDEX 173	B"01111111" when B"0010101110",  -- INDEX 174	B"01111010" when B"0010101111",  -- INDEX 175	B"01100011" when B"0010110000",  -- INDEX 176	B"00111011" when B"0010110001",  -- INDEX 177

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -