⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 lpm_multtest.v.bak

📁 8*8的乘法器verilog源代码,经过编译仿真的
💻 BAK
字号:
`timescale 1ns/1ns module lpm_multest;reg [7:0]dataa,datab,sum;reg clock,clken,aclr;wire[15:0] result;parameter dely=25;lpm_mult lpm_mult ( result, dataa, datab, sum, clock, clken, aclr ) ;initialbegin      clock=0;clken=0;aclr=0;sum=0;dataa=8'd0;datab=8'd0; #dely clock=1;dataa=8'd4;datab=8'd16; #dely clock=0;dataa=8'd4;datab=8'd4; #dely clock=1;dataa=8'd4;datab=8'd2; #dely clock=0;dataa=8'd4;datab=8'd5;  #dely clock=1;dataa=8'd4;datab=8'd2;endinitial   begin   $monitor($time,,,);  endendmodule

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -