📄 rom.vhd
字号:
---- rom.vhd---- generic VHDL version of ROM---- DONT edit this file!-- generated by Jopa.java--library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity rom isgeneric (width : integer; addr_width : integer); -- for compatibilityport ( clk : in std_logic; address : in std_logic_vector(9 downto 0); q : out std_logic_vector(9 downto 0));end rom;architecture rtl of rom is signal areg : std_logic_vector(9 downto 0); signal data : std_logic_vector(9 downto 0);beginprocess(clk) begin if rising_edge(clk) then areg <= address; end if;end process; q <= data;process(areg) begin case areg is when "0000000000" => data <= "0010000000"; -- TODO: comment when "0000000001" => data <= "0010000000"; -- TODO: comment when "0000000010" => data <= "0011000000"; -- TODO: comment when "0000000011" => data <= "0010000000"; -- TODO: comment when "0000000100" => data <= "0000011011"; -- TODO: comment when "0000000101" => data <= "0011000001"; -- TODO: comment when "0000000110" => data <= "0000100101"; -- TODO: comment when "0000000111" => data <= "0011000010"; -- TODO: comment when "0000001000" => data <= "0000100010"; -- TODO: comment when "0000001001" => data <= "0011000011"; -- TODO: comment when "0000001010" => data <= "0000101100"; -- TODO: comment when "0000001011" => data <= "0011000100"; -- TODO: comment when "0000001100" => data <= "0011000100"; -- TODO: comment when "0000001101" => data <= "0000001000"; -- TODO: comment when "0000001110" => data <= "0011000101"; -- TODO: comment when "0000001111" => data <= "0011100001"; -- TODO: comment when "0000010000" => data <= "0000000001"; -- TODO: comment when "0000010001" => data <= "0010000000"; -- TODO: comment when "0000010010" => data <= "0001000000"; -- TODO: comment when "0000010011" => data <= "0010000000"; -- TODO: comment when "0000010100" => data <= "0010000000"; -- TODO: comment when "0000010101" => data <= "0011000110"; -- TODO: comment when "0000010110" => data <= "0000001000"; -- TODO: comment when "0000010111" => data <= "0010000000"; -- TODO: comment when "0000011000" => data <= "0011100001"; -- TODO: comment when "0000011001" => data <= "0011111000"; -- TODO: comment when "0000011010" => data <= "0000001001"; -- TODO: comment when "0000011011" => data <= "0010101000"; -- TODO: comment when "0000011100" => data <= "0011000111"; -- TODO: comment when "0000011101" => data <= "0000011101"; -- TODO: comment when "0000011110" => data <= "0000000010"; -- TODO: comment when "0000011111" => data <= "0000101000"; -- TODO: comment when "0000100000" => data <= "0011000001"; -- TODO: comment when "0000100001" => data <= "0000000101"; -- TODO: comment when "0000100010" => data <= "0011111000"; -- TODO: comment when "0000100011" => data <= "0010000000"; -- TODO: comment when "0000100100" => data <= "0001100001"; -- TODO: comment when "0000100101" => data <= "0010000000"; -- TODO: comment when "0000100110" => data <= "0010000000"; -- TODO: comment when "0000100111" => data <= "0000000000"; -- TODO: comment when "0000101000" => data <= "0010100010"; -- TODO: comment when "0000101001" => data <= "0000001011"; -- TODO: comment when "0000101010" => data <= "0010101000"; -- TODO: comment when "0000101011" => data <= "0000001100"; -- TODO: comment when "0000101100" => data <= "0010000000"; -- TODO: comment when "0000101101" => data <= "0010000001"; -- TODO: comment when "0000101110" => data <= "0010000001"; -- TODO: comment when "0000101111" => data <= "0010100010"; -- TODO: comment when "0000110000" => data <= "0010000000"; -- TODO: comment when "0000110001" => data <= "0001100010"; -- TODO: comment when "0000110010" => data <= "0010000000"; -- TODO: comment when "0000110011" => data <= "0010000000"; -- TODO: comment when "0000110100" => data <= "0010101000"; -- TODO: comment when "0000110101" => data <= "0000100000"; -- TODO: comment when "0000110110" => data <= "0010100010"; -- TODO: comment when "0000110111" => data <= "0011000001"; -- TODO: comment when "0000111000" => data <= "0000000100"; -- TODO: comment when "0000111001" => data <= "0000100010"; -- TODO: comment when "0000111010" => data <= "0010100010"; -- TODO: comment when "0000111011" => data <= "0011001000"; -- TODO: comment when "0000111100" => data <= "0000000011"; -- TODO: comment when "0000111101" => data <= "0010000000"; -- TODO: comment when "0000111110" => data <= "0001100011"; -- TODO: comment when "0000111111" => data <= "0010000000"; -- TODO: comment when "0001000000" => data <= "0010000000"; -- TODO: comment when "0001000001" => data <= "0011000001"; -- TODO: comment when "0001000010" => data <= "0010000000"; -- TODO: comment when "0001000011" => data <= "0001100100"; -- TODO: comment when "0001000100" => data <= "0010000000"; -- TODO: comment when "0001000101" => data <= "0010000000"; -- TODO: comment when "0001000110" => data <= "1010000000"; -- TODO: comment when "0001000111" => data <= "1011001001"; -- TODO: comment when "0001001000" => data <= "1011000010"; -- TODO: comment when "0001001001" => data <= "1011000001"; -- TODO: comment when "0001001010" => data <= "1011000101"; -- TODO: comment when "0001001011" => data <= "1011001010"; -- TODO: comment when "0001001100" => data <= "1011000100"; -- TODO: comment when "0001001101" => data <= "1011000110"; -- TODO: comment when "0001001110" => data <= "0110000000"; -- TODO: comment when "0001001111" => data <= "1011110101"; -- TODO: comment when "0001010000" => data <= "0110000000"; -- TODO: comment when "0001010001" => data <= "0110000000"; -- TODO: comment when "0001010010" => data <= "1011110111"; -- TODO: comment when "0001010011" => data <= "0110100001"; -- TODO: comment when "0001010100" => data <= "0011110100"; -- TODO: comment when "0001010101" => data <= "0000000100"; -- TODO: comment when "0001010110" => data <= "0000001010"; -- TODO: comment when "0001010111" => data <= "0010000000"; -- TODO: comment when "0001011000" => data <= "0010000001"; -- TODO: comment when "0001011001" => data <= "0010000001"; -- TODO: comment when "0001011010" => data <= "1011100010"; -- TODO: comment when "0001011011" => data <= "0110100001"; -- TODO: comment when "0001011100" => data <= "0110000000"; -- TODO: comment when "0001011101" => data <= "0011110110"; -- TODO: comment when "0001011110" => data <= "0000000100"; -- TODO: comment when "0001011111" => data <= "0000001010"; -- TODO: comment when "0001100000" => data <= "0010000000"; -- TODO: comment when "0001100001" => data <= "0010000001"; -- TODO: comment when "0001100010" => data <= "0010000001"; -- TODO: comment when "0001100011" => data <= "1011100010"; -- TODO: comment when "0001100100" => data <= "0110000000"; -- TODO: comment when "0001100101" => data <= "1011101101"; -- TODO: comment when "0001100110" => data <= "1011101000"; -- TODO: comment when "0001100111" => data <= "1011101001"; -- TODO: comment when "0001101000" => data <= "1011101010"; -- TODO: comment when "0001101001" => data <= "1011101011"; -- TODO: comment when "0001101010" => data <= "0110000000"; -- TODO: comment when "0001101011" => data <= "1000010101"; -- TODO: comment when "0001101100" => data <= "1000010000"; -- TODO: comment when "0001101101" => data <= "1000010001"; -- TODO: comment when "0001101110" => data <= "1000010010"; -- TODO: comment when "0001101111" => data <= "1000010011"; -- TODO: comment when "0001110000" => data <= "1000000000"; -- TODO: comment when "0001110001" => data <= "0000000000"; -- TODO: comment when "0001110010" => data <= "1000000000"; -- TODO: comment when "0001110011" => data <= "1011111000"; -- TODO: comment when "0001110100" => data <= "0000100110"; -- TODO: comment when "0001110101" => data <= "0000100111"; -- TODO: comment when "0001110110" => data <= "0010100111"; -- TODO: comment when "0001110111" => data <= "0010100110"; -- TODO: comment when "0001111000" => data <= "0010100111"; -- TODO: comment when "0001111001" => data <= "1010100110"; -- TODO: comment when "0001111010" => data <= "0000100110"; -- TODO: comment when "0001111011" => data <= "0000100111"; -- TODO: comment when "0001111100" => data <= "0010100110"; -- TODO: comment when "0001111101" => data <= "0010100111"; -- TODO: comment when "0001111110" => data <= "1010100110"; -- TODO: comment when "0001111111" => data <= "1000000100"; -- TODO: comment when "0010000000" => data <= "1000000101"; -- TODO: comment when "0010000001" => data <= "0011001001"; -- TODO: comment when "0010000010" => data <= "0000000011"; -- TODO: comment when "0010000011" => data <= "0011000001"; -- TODO: comment when "0010000100" => data <= "1000000100"; -- TODO: comment when "0010000101" => data <= "1000000001"; -- TODO: comment when "0010000110" => data <= "1000000010"; -- TODO: comment when "0010000111" => data <= "1000000011"; -- TODO: comment when "0010001000" => data <= "1000011101"; -- TODO: comment when "0010001001" => data <= "1000011110"; -- TODO: comment when "0010001010" => data <= "1000011100"; -- TODO: comment when "0010001011" => data <= "0000001101"; -- TODO: comment when "0010001100" => data <= "0000000000"; -- TODO: comment when "0010001101" => data <= "0011000110"; -- TODO: comment when "0010001110" => data <= "0011111000"; -- TODO: comment when "0010001111" => data <= "0010000000"; -- TODO: comment when "0010010000" => data <= "0001100101"; -- TODO: comment when "0010010001" => data <= "0011001001"; -- TODO: comment when "0010010010" => data <= "0000000100"; -- TODO: comment when "0010010011" => data <= "0000000000"; -- TODO: comment when "0010010100" => data <= "1011100101"; -- TODO: comment when "0010010101" => data <= "0010100000"; -- TODO: comment when "0010010110" => data <= "0011000001"; -- TODO: comment when "0010010111" => data <= "0000000100"; -- TODO: comment when "0010011000" => data <= "0011111000"; -- TODO: comment when "0010011001" => data <= "0000001010"; -- TODO: comment when "0010011010" => data <= "0010000000"; -- TODO: comment when "0010011011" => data <= "0010000001"; -- TODO: comment when "0010011100" => data <= "0010000001"; -- TODO: comment when "0010011101" => data <= "0011100010"; -- TODO: comment when "0010011110" => data <= "0000100011"; -- TODO: comment when "0010011111" => data <= "0011000001"; -- TODO: comment when "0010100000" => data <= "0000000100"; -- TODO: comment when "0010100001" => data <= "0000001010"; -- TODO: comment when "0010100010" => data <= "0010000000"; -- TODO: comment when "0010100011" => data <= "0010000001"; -- TODO: comment when "0010100100" => data <= "0010000001"; -- TODO: comment when "0010100101" => data <= "0011100010"; -- TODO: comment when "0010100110" => data <= "0000100100"; -- TODO: comment when "0010100111" => data <= "0010100000"; -- TODO: comment when "0010101000" => data <= "0011000001"; -- TODO: comment when "0010101001" => data <= "0010000000"; -- TODO: comment when "0010101010" => data <= "0001100110"; -- TODO: comment when "0010101011" => data <= "0010000000"; -- TODO: comment when "0010101100" => data <= "0010000000"; -- TODO: comment when "0010101101" => data <= "0011110001"; -- TODO: comment when "0010101110" => data <= "0111111000"; -- TODO: comment when "0010101111" => data <= "0011110100"; -- TODO: comment when "0010110000" => data <= "0000000100"; -- TODO: comment when "0010110001" => data <= "0100011000"; -- TODO: comment when "0010110010" => data <= "0011110101"; -- TODO: comment when "0010110011" => data <= "0011101000"; -- TODO: comment when "0010110100" => data <= "0000000100"; -- TODO: comment when "0010110101" => data <= "0000010000"; -- TODO: comment when "0010110110" => data <= "0000011000"; -- TODO: comment when "0010110111" => data <= "1010000000"; -- TODO: comment when "0010111000" => data <= "0011001011"; -- TODO: comment when "0010111001" => data <= "1000000001"; -- TODO: comment when "0010111010" => data <= "0110000000"; -- TODO: comment when "0010111011" => data <= "0110000010"; -- TODO: comment when "0010111100" => data <= "0000000000"; -- TODO: comment when "0010111101" => data <= "1010000000"; -- TODO: comment
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -