📄 dataram.vhd
字号:
-- DATARAM.vhd (LPM version)-- Data RAM of CQPIC (PIC16F84)-- (1) Version 1.00a Nov 1 1999---- Copyright(c)1999-2002 Sumio Morioka-- e-mail:morioka@fb3.so-net.ne.jp, URL:http://www02.so-net.ne.jp/~morioka/cqpic.htm-- 拲堄丗偙偺僼傽僀儖偼ALTERA梡偱偡丏Xilinx偺応崌偼CoreGenerator偱儊儌儕傪惗惉偟偰巊偭偰偔偩偝偄丏-- 偦偺偲偒丆弌椡懁偼儗僕僗僞晅偒偱擖椡懁偼儗僕僗僞側偟乮偮傑傝儗僀僥儞僔侾僋儘僢僋乯偲偟偰偔偩偝偄丏library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;library lpm;use lpm.lpm_components.all;entity dataram is port ( addr : in std_logic_vector(8 downto 0); read : in std_logic; write : in std_logic; clk : in std_logic; datain : in std_logic_vector(7 downto 0); dataout : out std_logic_vector(7 downto 0) );end dataram;architecture RTL of dataram isbegin RAM: lpm_ram_dq generic map (-- LPM_WIDTHAD => 9, -- Full implementation of BANK3-0 LPM_WIDTHAD => 7, -- BANK0 only LPM_WIDTH => 8, LPM_INDATA => "UNREGISTERED", LPM_ADDRESS_CONTROL => "UNREGISTERED", LPM_OUTDATA => "REGISTERED" ) port map ( data => datain,-- address => addr, -- Full implementation of BANK3-0 address => addr(6 downto 0), -- BANK0 only-- inclock => clk, outclock=> clk, we => write, q => dataout );end RTL;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -