📄 how2use.txt
字号:
亖亖亖亖亖亖亖亖亖亖亖亖亖亖亖亖亖亖亖
幚峴偡傞俹俬俠僾儘僌儔儉偺曄峏偺巇曽
亖亖亖亖亖亖亖亖亖亖亖亖亖亖亖亖亖亖亖
丂俠俹倀偱幚峴偡傞僾儘僌儔儉偺曄峏偼丆師偺庤弴偱峴偄傑偡丏
(1) 僾儘僌儔儉傪嶌偭偰俵俹俙俽俵側偳偱傾僙儞僽儖偟丆俫俤倃僼傽僀儖傪嶌傝傑
偡丏惗惉偡傞俫俤倃僼傽僀儖偺巜掕偼丆俢efault偵偟偰偍偄偰偔偩偝偄丏
丂側偍丆僾儘僌儔儉柤偼progrom.asm偵偟偰偔偩偝偄丏偙偺偲偒丆俫俤倃僼傽僀儖
偼progrom.hex偑偱偒傑偡丏
(2) hex2vhd.exe偱丆偦偺俫俤倃僼傽僀儖偐傜倁俫俢俴僼傽僀儖傪嶌傝傑偡丏俫俤
倃僼傽僀儖偺傾僀僐儞傪hex2vhd.exe傊drag&drop偡傞偲嶌傟傑偡丏偦偺偲偒丆僾儘
僌儔儉偑俼俷俵偺僶僗暆傪暦偄偰偒傑偡偑丆捠忢偼乽0乿偲擖椡偟偰偔偩偝偄(僨僼
僅儖僩偺13價僢僩偵側傝傑偡)丏傕偟俠俻俹俬俠傪夵憿偟偰俼俷俵偺僶僗暆傪曄峏
偟偨応崌偼丆偦偺僶僗暆傪擖椡偟偰偔偩偝偄丏
(2') 傕偟俙俴俿俤俼俙梡偺俼俷俵僼傽僀儖傪巊偭偰偄傞側傜丆倁俫俢俴偱偼側偔
俵俬俥僼傽僀儖傪嶌傝傑偡丏hex2mif.exe傪巊偭偰偔偩偝偄丏
(3) 惗惉偝傟偨倁俫俢俴僼傽僀儖偼progrom.vhd偲側傞偼偢偱偡(俵俬俥僼傽僀儖側
傜progrom.mif)丏傕偲偺progrom.vhd傑偨偼progrom.mif傪怴偟偄僼傽僀儖偲岎姺偟
偰偔偩偝偄丏
(4) cqpic.vhd傪奒憌僩僢僾偵丆嵞搙榑棟崌惉傪峴偄傑偡丏偨偩偟丆僨儌斉偺僾儘
僌儔儉傪曄峏偟偨応崌偼丆pictest.gdf傪奒憌僩僢僾偵偟偰偔偩偝偄丏
亖亖亖亖亖亖亖亖亖亖亖亖亖亖亖亖亖
俠俹倀僐傾偺儐乕僓僀儞僞乕僼僃乕僗
亖亖亖亖亖亖亖亖亖亖亖亖亖亖亖亖亖
丂俠俹倀僐傾piccore.vhd偺僀儞僞乕僼僃乕僗偼埲壓偺偲偍傝偱偡丏cqpic.vhd偑丆
幚嵺偺偮側偓偐偨偺嶲峫偵側傞偱偟傚偆丏
generic (
俽俿俙俠俲_俽俬倅俤 : integer :亖 8; 僗僞僢僋僒僀僘丏帺桼偵曄偊偰偔偩偝偄
倂俢俿_俽俬倅俤 : integer :亖 255 倂俢俿偺嵟戝抣丏帺桼偵曄偊偰偔偩偝偄
);
port (
-- program 俼俷俵 data bus/address bus
progdata : in std_logic_vector(13 downto 0); 俼俷俵偺僨乕僞丒僶僗
progadr : out std_logic_vector(12 downto 0); 俼俷俵偺傾僪儗僗僶僗
俼俷俵偺/俷俤傗/俼俢偼俴屌掕(忢偵弌椡)偱偡丏
-- data 俼俙俵 data bus/address bus/control signals
拲堄丗data RAM偼弌椡儗僕僗僞晅偒偺傕偺偵偟偰偔偩偝偄乮DATARAM.VHD傪嶲徠乯
ramdtin : in std_logic_vector(7 downto 0); 俼俙俵偺僨乕僞丒僶僗(俼俙俵->俠俹倀)
ramdtout : out std_logic_vector(7 downto 0); 俼俙俵偺僨乕僞丒僶僗(俠俹倀->俼俙俵)
ramadr : out std_logic_vector(8 downto 0);丂俼俙俵偺傾僪儗僗僶僗
readram : out std_logic; 俼俙俵偺儕乕僪僀僱乕僽儖(俫傾僋僥傿僽)
writeram : out std_logic; 俼俙俵偺儔僀僩僀僱乕僽儖(俫傾僋僥傿僽)
-- 俤俤俹俼俷俵 data bus/address bus
existeeprom : in std_logic; 俤俤俹俼俷俵偑側偗傟偽俴丆偁傟偽俫
eepdtin : in std_logic_vector(7 downto 0); 俤俤俹俼俷俵偺僨乕僞丒僶僗(俤俤俹俼俷俵->俠俹倀)
eepdtout : out std_logic_vector(7 downto 0); 俤俤俹俼俷俵偺僨乕僞丒僶僗(俠俹倀->俤俤俹俼俷俵)
eepadr : out std_logic_vector(7 downto 0); 俤俤俹俼俷俵偺傾僪儗僗僶僗
readeepreq : out std_logic; 俤俤俹俼俷俵偺儕乕僪梫媮(僴儞僪僔僃僀僋)
readeepack : in std_logic; 俤俤俹俼俷俵偺儕乕僪姰椆捠抦傪擖傟傞丏俤俤俹俼俷俵偑側偗傟偽俫屌掕
writeeepreq : out std_logic; 俤俤俹俼俷俵偺儔僀僩梫媮(僴儞僪僔僃僀僋)
writeeepack : in std_logic; 俤俤俹俼俷俵偺儔僀僩姰椆捠抦傪梫傟傞丏俤俤俹俼俷俵偑側偗傟偽俫屌掕
-- 俬/俷 ports
porta_in : in std_logic_vector(4 downto 0); 億乕僩俙擖椡
porta_out : out std_logic_vector(4 downto 0); 億乕僩俙弌椡
porta_dir : out std_logic_vector(4 downto 0); 億乕僩俙怣崋曽岦(俿俼俬俽俙)丏俫側傜擖椡
portb_in : in std_logic_vector(7 downto 0); 億乕僩俛擖椡
portb_out : out std_logic_vector(7 downto 0); 億乕僩俛弌椡
portb_dir : out std_logic_vector(7 downto 0); 億乕僩俛怣崋曽岦(俿俼俬俽俛)丏俫側傜擖椡
rbpu : out std_logic; 俼俛俹倀(抂巕僾儖傾僢僾)怣崋丏捠忢巊偄傑偣傫丏
-- 俹俷俼俿-俛 interrupt input
int0 : in std_logic; 俼俛0妱傝崬傒擖椡
int4 : in std_logic; 俼俛4妱傝崬傒擖椡
int5 : in std_logic; 俼俛5妱傝崬傒擖椡
int6 : in std_logic; 俼俛6妱傝崬傒擖椡
int7 : in std_logic; 俼俛7妱傝崬傒擖椡
-- 俿俵俼0 俠ontrol
t0cki : in std_logic; 俿俵俼0奜晹僋儘僢僋擖椡(傕偲偼俼俙4)
-- 倂atch 俢og 俿imer 俠ontrol
wdtena : in std_logic; 倂俢俿偺僀僱乕僽儖丏倂俢俿傪巊偆側傜俫
wdtclk : in std_logic; 倂俢俿偺摦嶌僋儘僢僋丏
wdtfull : out std_logic; 俠俹倀偑倂俢俿儕僙僢僩傪幚峴拞俫偵側傞
-- 俠俹倀 clock stop/start indicators
powerdown : out std_logic; 俠俹倀偑俽俴俤俤俹拞俫偵側傞丏僋儘僢僋clkin傪掆巭偱偒傑偡丏
startclkin : out std_logic; 俽俴俤俤俹夝彍怣崋丏俫偵側偭偨傜僋儘僢僋傪嫙媼偟偰偔偩偝偄丏
-- 俠俹倀 reset
ponrst_n : in std_logic; 僷儚乕俷俶儕僙僢僩(俴傾僋僥傿僽)丏僷儚乕俷俶帪丆clkin嫙媼偑埨掕偡傞傑偱偐側傜偢俴偵曐偭偰偔偩偝偄丏俠俹倀撪晹偼姰慡偵儕僙僢僩偝傟傞丏
mclr_n : in std_logic; 儕僙僢僩怣崋(俴傾僋僥傿僽)丏僷儚乕俷俶屻偺儕僙僢僩偵巊偄傑偡丏俠俹倀偺撪晹儗僕僗僞抣偑堦晹巆傞偺偑丆ponrst_n偲偺堘偄丏
-- 俠俹倀 clock
clkin : in std_logic; 俠俹倀僋儘僢僋擖椡丏
clkout : out std_logic clkin/4偑弌椡偝傟傑偡丏俽俴俤俤俹拞偼掆巭偟傑偡丏
);
亖亖亖亖亖亖亖亖亖亖亖亖亖亖亖亖
倁俫俢俴偺婰弎僗僞僀儖偵偮偄偰
亖亖亖亖亖亖亖亖亖亖亖亖亖亖亖亖
丂僜乕僗僐乕僪偼拪徾搙偺崅偄彂偒曽傪偟偰偁傞偺偱丆婡擻曄峏偼擄偟偔偁傝傑偣
傫丏僎乕僩丒儗儀儖偱慡夞楬傪嶌惉偟偰偄傞偲婡擻曄峏偼偲偰傕偨偄傊傫側偺偱丆
婥寉偵曄峏偑偱偒傞偲偄偆偺偼丆俫俢俴偱婡擻婰弎傪偡傞戝偒側儊儕僢僩偱偡丏
丂偨偩偟丆撪晹張棟偺棳傟傪棟夝偟側偄偙偲偵偼丆傗偼傝曄峏偼柍棟偱偟傚偆丏撪
晹張棟偺棳傟偼丆杮彂婰帠偲丆piccore.vhd拞偺僐儊儞僩傪嶲徠偟偰偔偩偝偄丏棟
夝偺偙偮偲偟偰偼丆
仯僜乕僗傪巒傔偐傜堦峴偢偮棟夝偟傛偆偲偡傞偺偱偼側偔偰丆偍偍傑偐側棳傟傪僐
儊儞僩偐傜傑偢偮偐傓丏僱僗僩偺愺偄埵抲偵偁傞僐儊儞僩偑慡懱揑側張棟丆怺偄埵
抲偵偁傞僐儊儞僩偑嵶偐偄張棟傪愢柧偟偰偄傑偡丏
仯僾儘僌儔儉揑側姶妎偱process傗if,case暥傪僔乕働儞僔儍儖幚峴偲巚偭偰挱傔傞
偺偱偼側偔丆乽偳偺傛偆側儗僕僗僞偑懚嵼偟偰偄傞偐乿傪傑偢攃埇偟丆偦偺師偵
乽偄偮丆偳偺傛偆側忦審偺偲偒丆奺儗僕僗僞偵偳偺傛偆側抣偑戙擖偝傟傞偐乿傪懆
偊傞丏
側偳偑嫇偘傜傟傑偡丏
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -