⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 leon_mtc45000.dc

📁 一个航天航空用的Sparc处理器(配美国欧洲宇航局用的R_tems嵌入式操作系统)的VHDL源代码
💻 DC
字号:
Search_path = ". ~/ /cad/synopsys1999.05-02/libraries/syn /cad/foundry/mietec/0.35u"
target_library = "MTC45000.db MTC45100.db"
link_library = {MTC45000.db MTC45100.db}
symbol_library = "generic.sdb MTC45000.sdb"     
include setup.dc
include libs_mi35.dc
include leon.dc
create_clock -name "clk" -period 10 -waveform {   "0" "5.0" } {   "clk" } 
set_clock_skew -plus_uncertainty 0.25 "clk"
set_clock_skew -minus_uncertainty 0.25 "clk"
set_max_delay 5.000000 -rise -to { \
	 "writen" "wdogn" "romsn" "read" "oen" \
	 "iosn" "errorn" "rwen[3]" "rwen[2]" "rwen[1]" "rwen[0]" "rasn[3]" \
	 "rasn[2]" "rasn[1]" "rasn[0]" "pio[15]" "pio[14]" "pio[13]" \
	 "pio[12]" "pio[11]" "pio[10]" "pio[9]" "pio[8]" "pio[7]" \
	 "pio[6]" "pio[5]" "pio[4]" "pio[3]" "pio[2]" "pio[1]" "pio[0]" \
	 "data[31]" "data[30]" "data[29]" "data[28]" "data[27]" "data[26]" \
	 "data[25]" "data[24]" "data[23]" "data[22]" "data[21]" "data[20]" \
	 "data[19]" "data[18]" "data[17]" "data[16]" "data[15]" "data[14]" \
	 "data[13]" "data[12]" "data[11]" "data[10]" "data[9]" "data[8]" \
	 "data[7]" "data[6]" "data[5]" "data[4]" "data[3]" "data[2]" \
	 "data[1]" "data[0]" "casn[3]" "casn[2]" "casn[1]" "casn[0]" \
	 "address[27]" "address[26]" "address[25]" "address[24]" \
	 "address[23]" "address[22]" "address[21]" "address[20]" \
	 "address[19]" "address[18]" "address[17]" "address[16]" \
	 "address[15]" "address[14]" "address[13]" "address[12]" \
	 "address[11]" "address[10]" "address[9]" "address[8]" \
	 "address[7]" "address[6]" "address[5]" "address[4]" \
	 "address[3]" "address[2]" "address[1]" "address[0]"}

set_max_delay 7.000000 -rise -to { \
	 "cb[6]" "cb[5]" "cb[4]" "cb[3]" "cb[2]" "cb[1]" "cb[0]"}

set_max_delay 5.000000 -fall -to { \
	 "writen" "wdogn" "romsn" "read" "oen" \
	 "iosn" "errorn" "rwen[3]" "rwen[2]" "rwen[1]" "rwen[0]" "rasn[3]" \
	 "rasn[2]" "rasn[1]" "rasn[0]" "pio[15]" "pio[14]" "pio[13]" \
	 "pio[12]" "pio[11]" "pio[10]" "pio[9]" "pio[8]" "pio[7]" \
	 "pio[6]" "pio[5]" "pio[4]" "pio[3]" "pio[2]" "pio[1]" "pio[0]" \
	 "data[31]" "data[30]" "data[29]" "data[28]" "data[27]" "data[26]" \
	 "data[25]" "data[24]" "data[23]" "data[22]" "data[21]" "data[20]" \
	 "data[19]" "data[18]" "data[17]" "data[16]" "data[15]" "data[14]" \
	 "data[13]" "data[12]" "data[11]" "data[10]" "data[9]" "data[8]" \
	 "data[7]" "data[6]" "data[5]" "data[4]" "data[3]" "data[2]" \
	 "data[1]" "data[0]" "casn[3]" "casn[2]" "casn[1]" "casn[0]" \
	 "address[27]" "address[26]" "address[25]" "address[24]" \
	 "address[23]" "address[22]" "address[21]" "address[20]" \
	 "address[19]" "address[18]" "address[17]" "address[16]" \
	 "address[15]" "address[14]" "address[13]" "address[12]" \
	 "address[11]" "address[10]" "address[9]" "address[8]" \
	 "address[7]" "address[6]" "address[5]" "address[4]" \
	 "address[3]" "address[2]" "address[1]" "address[0]"}

set_max_delay 7.000000 -fall -to { \
	 "cb[6]" "cb[5]" "cb[4]" "cb[3]" "cb[2]" "cb[1]" "cb[0]"}

include optimize.dc
write -hierarchy -output leon_mi35.db

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -