📄 sdram_test.cr.mti
字号:
E:/code/ep1c20_fudan/S18_sdram_control/src/control_interface.v {1 {vlog -work work E:/code/ep1c20_fudan/S18_sdram_control/src/control_interface.v
Model Technology ModelSim SE vlog 6.0 Compiler 2004.08 Aug 19 2004
-- Compiling module control_interface
Top level modules:
control_interface
} {} {}} E:/code/ep1c20_fudan/S18_sdram_control/sim/Params.v {1 {vlog -work work E:/code/ep1c20_fudan/S18_sdram_control/sim/Params.v
Model Technology ModelSim SE vlog 6.0 Compiler 2004.08 Aug 19 2004
} {} {}} E:/code/ep1c20_fudan/S18_sdram_control/sim/mt48lc2m32b2.v {1 {vlog -work work E:/code/ep1c20_fudan/S18_sdram_control/sim/mt48lc2m32b2.v
Model Technology ModelSim SE vlog 6.0 Compiler 2004.08 Aug 19 2004
-- Compiling module mt48lc2m32b2
Top level modules:
mt48lc2m32b2
} {} {}} E:/code/ep1c20_fudan/S18_sdram_control/sim/control_interface.v {1 {vlog -work work E:/code/ep1c20_fudan/S18_sdram_control/sim/control_interface.v
Model Technology ModelSim SE vlog 6.0 Compiler 2004.08 Aug 19 2004
-- Compiling module control_interface
Top level modules:
control_interface
} {} {}} E:/code/ep1c20_fudan/S18_sdram_control/src/Command.v {1 {vlog -work work E:/code/ep1c20_fudan/S18_sdram_control/src/Command.v
Model Technology ModelSim SE vlog 6.0 Compiler 2004.08 Aug 19 2004
-- Compiling module command
Top level modules:
command
} {} {}} E:/code/ep1c20_fudan/S18_sdram_control/sim/Command.v {1 {vlog -work work E:/code/ep1c20_fudan/S18_sdram_control/sim/Command.v
Model Technology ModelSim SE vlog 6.0 Compiler 2004.08 Aug 19 2004
-- Compiling module command
Top level modules:
command
} {} {}} E:/code/ep1c20_fudan/S18_sdram_control/sim/sdram_test_tb.v {1 {vlog -work work E:/code/ep1c20_fudan/S18_sdram_control/sim/sdram_test_tb.v
Model Technology ModelSim SE vlog 6.0 Compiler 2004.08 Aug 19 2004
-- Compiling module sdram_test_tb
Top level modules:
sdram_test_tb
} {} {}} E:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v {1 {vlog -work work E:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.v
Model Technology ModelSim SE vlog 6.0 Compiler 2004.08 Aug 19 2004
-- Compiling module lcell
-- Compiling module global
-- Compiling module carry
-- Compiling module cascade
-- Compiling module carry_sum
-- Compiling module exp
-- Compiling module ALTERA_DEVICE_FAMILIES
-- Compiling module altaccumulate
-- Compiling module altmult_accum
-- Compiling module altmult_add
-- Compiling module altfp_mult
-- Compiling module altsqrt
-- Compiling module altclklock
-- Compiling module altddio_in
-- Compiling module altddio_out
-- Compiling module altddio_bidir
-- Compiling module dffp
-- Compiling module stx_m_cntr
-- Compiling module stx_n_cntr
-- Compiling module stx_scale_cntr
-- Compiling module arm_m_cntr
-- Compiling module arm_n_cntr
-- Compiling module arm_scale_cntr
-- Compiling module MF_pll_reg
-- Compiling module MF_stratix_pll
-- Compiling module MF_stratixii_pll
-- Compiling module altpll
-- Compiling module hssi_pll
-- Compiling module MF_ram7x20_syn
-- Compiling module hssi_fifo
-- Compiling module hssi_rx
-- Compiling module hssi_tx
-- Compiling module altcdr_rx
-- Compiling module altcdr_tx
-- Compiling module altlvds_rx
-- Compiling module stratix_lvds_rx
-- Compiling module stratixgx_dpa_lvds_rx
-- Compiling module stratixii_lvds_rx
-- Compiling module altlvds_tx
-- Compiling module stratixii_tx_outclk
-- Compiling module altcam
-- Compiling module altdpram
-- Compiling module altsyncram
-- Compiling module alt3pram
-- Compiling module altqpram
-- Compiling module parallel_add
-- Compiling module scfifo
-- Compiling module dcfifo_dffpipe
-- Compiling module dcfifo_fefifo
-- Compiling module dcfifo_async
-- Compiling module dcfifo_sync
-- Compiling module dcfifo
-- Compiling module altshift_taps
-- Compiling module a_graycounter
-- Compiling module alt_exc_dpram
-- Compiling module alt_exc_upcore
Top level modules:
lcell
global
carry
cascade
carry_sum
exp
altaccumulate
altmult_accum
altmult_add
altfp_mult
altsqrt
altddio_bidir
altcdr_rx
altcdr_tx
altlvds_rx
altlvds_tx
altcam
altdpram
alt3pram
altqpram
parallel_add
scfifo
dcfifo
altshift_taps
a_graycounter
alt_exc_dpram
alt_exc_upcore
} {} {}}
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -