📄 _info
字号:
m25513cModel TechnologydD:\ipcores\sdram_controller\simulationva_graycounterIb`VXN_T`jFf3eXW`DZS2j1V[Zm=ERVi?91fb_h71FEbF0dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 27485OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000valt3pramI@_?5`BUMi1Rm[MCdmgT]^2VeL6NCfK]YAX6<b<z[ZkkX1dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 24005OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000valt_exc_dpramIfWHNOZ<bG1nL^WN<@f2>k3VEJWFTNa>K:3_IG7J3EU6k0dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 27562OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000valt_exc_upcoreI`C]?=CZ^PI2k6:SC<:TDJ2VJ_nPeA0^dmRYGBfeenO0Y2dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 27863OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000valtaccumulateIZTNKYJ5F6]lDZh>7TAWAE1V9Ul5<MUi1M<Q[bF:E9QnI3dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 299OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000valtcamInk6OB@P[oMFMPf?[eONCl0V9a;2KjAi:fL36i^IZ@2M33dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 19826OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000valtcdr_rxI4[@Jn:7gFJkJ0?n7XfoM53VU`1liGA=@7nTdng1Ym9GG3dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 16157OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000valtcdr_txIcK_7Cf@=>LLi[5oXdGo?X3V<81<F>`hAUO_k>9Q<^RA_1dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 16778OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000valtclklockInXAhSG;QAJ^89M1`LkJ];1Vhi<95<b[A2CPY2C<fY7K51dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 6066OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000valtddio_bidirIXF9Qz@z`Z9LXzTB40QHW`0VoZG2X2QQm:dClVLFZ]Y6W0dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 7350OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000valtddio_inIgnOF@S4lV5HaS2nz1eJHb2Vfb5OHh3<f[j3`AHgI2a3K0dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 6976OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000valtddio_outI?0aj35F?M5OO25?`dH8:I0Vg>bDj4BFa[<E>DCUSLQ^V1dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 7138OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000valtdpramIS6QD[<ULXgDOh@AGSafmA1V>kNJ1]2R^FkShYhC0UKgg0dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 22401OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000vALTERA_DEVICE_FAMILIESIkMM9Mh?DX4SooGgV@E_?W2VQ9;YfM0O8T<kA:7iO^6?T3dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 91OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000n@a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@svaltfp_multIo>CARciRT?RfLcNhCA]WG1V:jm5Ubj8A3cFgJZb43na>1dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 5176OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000valtlvds_rxI0fd[a4iK<E=n8?kfCGoJo3V[>E70YLAB61i13l69dhWQ2dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 17325OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000valtlvds_txIe7GUVGM@DB>H5>_2baELQ3VGM_Ze5PP6djgYYEZj@;Z22dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 18925OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000valtmult_accumINKjgiFh7EkORnL^E44Oc02V>_oVU8zGA]7;U0FUP]TP@2dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 548OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000valtmult_addI^1nFJH_doCEn0BN6Na6Y43VYe9EC^KOecHlB]GZzQSBo0dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 2355OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000valtpllI7L1[6]mUnTAJTX0hVBDdQ2VN:fmRnm8A3D:ZiX:=[^NC3dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 14068OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000valtqpramIPiElI@ec7Y>ODki_IHgLo0Vn2X^JLc_lzd9<nK?>RSl01dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 24665OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000valtshift_tapsI?L@54IATm^U0=_E7m:g_@1V5;Gz9?MS>FPGR4`elbXQ52dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 27384OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000valtsqrtIoNS9LU]EQj4mSTX[d30k43VBV3>bZnYUS9WHCPheVOgW2dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 5854OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000valtsyncramIBAD8km>2YQ3a<Ca0R1NJD0VlkD1IA3Om0>GF04z290zG0dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 22731OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000varm_m_cntrI8P?U;OoYQcfAjRkziKdgb1VnZ7bTfk5X@6QmGaNoIOHO1dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 7778OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000varm_n_cntrIoUcaC<HjWOgnMo<UOPFUz2V@_zlT2DBKV43W5lIQ08O:0dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 7856OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000varm_scale_cntrIA[13ZXFZa5J6]ZAeLKR0:2VXREJKCNbUEi[38_3KRk5Y1dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 7928OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000vcarryI4fkUaZ7ZjBmm=C8Y92CUe1VI2hZ[c0BXLj;RMfz_`dDM3dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 53OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000vcarry_sumI<`kk1oB]Y6JWEhOXVSN]E2V@[n7HThh1Q[jOYY4]]nYG0dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 69OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000vcascadeIH0Jo=9CCHYz1maMh`]>dC0V;Vo8489M4<PS0zcA7gh@31dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 61OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000vcommandIzMSz?GzhSaGNkHP0fJTCE3V3RLDj=mJ^Mohf;2__RXXz3dE:\code\ep1c20_fudan\S18_sdram_control\simw1119072676FE:/code/ep1c20_fudan/S18_sdram_control/sim/Command.vFparams.vL0 20OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000vcontrol_interfaceIf`:;bFSgGV4NzZ6_G<HEM1V1>cKQO@KnFB6eKoJH7Ajh0dE:\code\ep1c20_fudan\S18_sdram_control\simw1118759186FE:/code/ep1c20_fudan/S18_sdram_control/sim/control_interface.vFParams.vL0 20OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000vdcfifoIWlP<oU1a[GF8@cAT=4fN60VLb5Rj@36WKJOmoM@0M>Wj0dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 27240OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000vdcfifo_asyncICU^NT]V6>;0LD<Tkaj9f]1V^DY3YJTnMU3=^_gac;AI=1dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 26557OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000vdcfifo_dffpipeIY:>U0T=0joEHb;aHbLIF>2V5oD^gL3I[RhLlE`]D9m4^2dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 26327OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000vdcfifo_fefifoI[V?DliBdgRk=BHNzVNEH92V`z7m6ThEgzU5BllSDblSG3dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 26413OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000vdcfifo_syncIKT?g0hc0B35Fg9ZIfzWXk0V[dVnCn7`FK;`DOzPIgIl01dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 26932OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000vdffpI6zJDH9JQNcDzE:XJ=NeZK3VXmBPS_`4`eL@]B5:5aCEQ3dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 7466OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000vexpIKXQc>l[X>MiBW1>dfg>@m0V=OIK>_914M0Zli;G9>31j2dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 80OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000vglobalIR>:UJ:V=;YYi]>m[dNVEk1VACN1f3dSQ@C3130<K:]gI1dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 45OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000vhssi_fifoI:j8Khd9XMfG?h:TE;:[531VKoi46Beo<iNXni^[a=Nl>1dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 15605OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000vhssi_pllI]CkBDJ`DA9hFQP6oIBTEP1VHn2idKnMV[WIMbT1SSEn42dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 14973OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000vhssi_rxIE0>2<Wkjhl^3@0PIaHHeB3V<@<bkeHZeT^WBbP9c>;hH2dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 15833OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000vhssi_txIgNdOQ[o2N<cMYC@NOg>790V06h>hE6P?n6HMVij3Dec52dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 16031OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000vlcellI@_E<V632U]J;]I@6]IAY32VJ?L2VaGMcgJV7T`@^5DkR1dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 37OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000vMF_pll_regI_7HIg_9K3P2bn5OeD>QOU1VNCKUEDQ^OBUgncngA<V;H2dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 8041OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000n@m@f_pll_regvMF_ram7x20_synIh5Kch0AE6Ib?azAMNEVbK0VZ[HJ1VI9PREnL2NnHz4ST0dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 15460OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000n@m@f_ram7x20_synvMF_stratix_pllI@70IGzcK6:_N6cjARCUmV2VS:Y?o]LYJ_dBXReAk7<752dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 8102OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000n@m@f_stratix_pllvMF_stratixii_pllIX`6]PMDFF64`DaX<PIkdP2VMab9FaRLFIfJ_Fj8?;n:00dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 11499OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000n@m@f_stratixii_pllvmt48lc2m32b2IKo_72K=LK2IB02NinZfbi2VEJ`Dd[>;F;YXC95@eP;;k3dE:\code\ep1c20_fudan\S18_sdram_control\simw1094182008FE:/code/ep1c20_fudan/S18_sdram_control/sim/mt48lc2m32b2.vL0 41OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000vparallel_addIn=^7[A<icnF:fFLUoMm4_3V6CPA0HOgmQD6>N6Wz]c461dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 25768OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000vscfifoIIf_mJAgfE`L1NK=1311gQ2VomUTG6_M30GdhH9R6Rn0F1dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 25940OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000vsdr_data_pathILg9jn^fB=i]JGWMW?87:L3VS:TB21P=0=2iMZ1E3?UZK1dD:\ipcores\sdram_control\simw1118759186FD:/ipcores/sdram_control/src/sdr_data_path.vFparams.vL0 19OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000vsdr_sdramIZXkT_KTVn`35<8hX2LL:F1VZlVAgoH=Rb]mDE<nA77`U0dD:\ipcores\sdram_control\simw1118761000FD:/ipcores/sdram_control/src/sdr_sdram.vFparams.vL0 20OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000vsdram_test_tbIa98G=Jo;>;gIQ>6]oN5S31V2O[m9SMBF:YiTbebGOB`62dE:\code\ep1c20_fudan\S18_sdram_control\simw1134818976FE:/code/ep1c20_fudan/S18_sdram_control/sim/sdram_test_tb.vL0 2OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000vstratix_lvds_rxI3?eMMjMa@oX_J`n<?<dnn3VX2Hz;l`7[k2TmMZ0CN9?V3dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 18064OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000vstratixgx_dpa_lvds_rxIRiWJo_Q0FW89oklfTQ[2=3VMD9^4YD2:0U708FMDY3Wl2dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 18214OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000vstratixii_lvds_rxI32Ki<zkS`aK0K?jAOb1<f2VVY@A?IUf>YCT_f498OQmb1dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 18576OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000vstratixii_tx_outclkI[djnFND9:1kba:9boaCC=2VS6BTZJ^6o@QHSbSXNaRD@2dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 19719OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000vstx_m_cntrIFmcze=nfEa8ToflmbcFU51V:[2:D[_10ghn[bgc=CD302dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 7494OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000vstx_n_cntrIVVNSjDETC?1T3SgY<MfbR2V4CiQB5Uz?;=OULO:MD>Eo1dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 7576OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000vstx_scale_cntrIHObaf<;hNSCz@Nk>:knI82VG8KIUm2mDHoX1DjR8TDdJ1dE:\code\ep1c20_fudan\S18_sdram_control\simw1101632846FE:/code/ep1c20_fudan/S18_sdram_control/sim/altera_mf.vL0 7657OE;L;6.0;29r131o-work worktGenerateLoopIterationMax 100000
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -