⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 fengpin.vhd

📁 此为用VHDL编写的可实现动感图像的点阵
💻 VHD
字号:

library ieee;
use  ieee.std_logic_1164.all;
use  ieee.std_logic_signed.all;
entity fengpin  is
      port(
            clk:in  std_logic;
                     fpclk:out std_logic);
end fengpin;
architecture   behavor  of  fengpin  is
    signal b:std_logic_vector(10 downto 0):="00000000000";
    begin
  process(clk)
  begin
  if(clk'event and clk='1') then
   b<=b+1;
  end if;
  fpclk<=b(10);
  end process;
  end behavor;



             

  


 

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -