⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 pin.vhd

📁 大屏幕led点阵显示的驱动时序。 使用vhdl语言描述。其中rom文件可以使用lpm_megcore自动生成。
💻 VHD
字号:
--分频程序
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity pin is
port(f1m:in std_logic;
     f800:out std_logic);     
end pin;

architecture behave of pin is
signal Count : integer range 0 to 999;
begin
    DivideCLK :
        process(f1m)--对1M的信号1000分频 1KHz
        begin
        if (f1m'event and f1m = '1')then 
            if Count<499  then f800<='0';Count<=Count+1;
            elsif Count<999 then f800<= '1';Count <= Count+1;
            elsif Count>=999 then f800<='0';Count<=0;                        
            end  if;
        end  if;
        end process;
end behave;    

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -