⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 fftwrap.vhd

📁 1024点FFT快速傅立叶变换,(vhdl代码)
💻 VHD
📖 第 1 页 / 共 2 页
字号:
library ieee;
use ieee.std_logic_1164.all;


entity fftwrap is
  port (
	RS   : in    std_logic;
	MEM   : in    std_logic;
	DR     : in std_logic_vector(15 downto 0);
	DI     : in std_logic_vector(15 downto 0);
	DA_R     : in std_logic_vector(15 downto 0);
	DA_I     : in std_logic_vector(15 downto 0);
	DB_R     : in std_logic_vector(15 downto 0);
	DB_I     : in std_logic_vector(15 downto 0);
	AUTO   : in    std_logic;
	DMA   : in    std_logic;
	START   : in    std_logic;
	CE   : in    std_logic;
	CLK   : in    std_logic;

	RAMA_OE : out    std_logic;
	RAMB_OE : out    std_logic;
	RAMA_CS : out    std_logic;
	RAMB_CS : out    std_logic;
	DONE : out    std_logic;
	DMA_IN_PROGRESS : out    std_logic;
	DOA_R  : out    std_logic_vector(15 downto 0);
	DOA_I  : out    std_logic_vector(15 downto 0);
	DOB_R  : out    std_logic_vector(15 downto 0);
	DOB_I  : out    std_logic_vector(15 downto 0);
	XK_R  : out    std_logic_vector(15 downto 0);
	XK_I  : out    std_logic_vector(15 downto 0);
	K  : out    std_logic_vector(9 downto 0);
	RAMA_A  : out    std_logic_vector(9 downto 0);
	RAMB_A  : out    std_logic_vector(9 downto 0);
	RAMA_WR : out    std_logic;
	RAMB_WR : out    std_logic;
	OBUF_TB : out    std_logic;
	OBUF_TA : out    std_logic;
	RESULT : out    std_logic;
	IO_CYCLE : out    std_logic
       );

end fftwrap;


architecture WRAPPER of fftwrap is



   component xfft1024
      port (
        RS   : in    std_logic;
        MEM   : in    std_logic;
        DR15     : in std_logic;
        DR14     : in std_logic;
        DR13     : in std_logic;
        DR12     : in std_logic;
        DR11     : in std_logic;
        DR10     : in std_logic;
        DR9     : in std_logic;
        DR8     : in std_logic;
        DR7     : in std_logic;
        DR6     : in std_logic;
        DR5     : in std_logic;
        DR4     : in std_logic;
        DR3     : in std_logic;
        DR2     : in std_logic;
        DR1     : in std_logic;
        DR0     : in std_logic;
        DI15     : in std_logic;
        DI14     : in std_logic;
        DI13     : in std_logic;
        DI12     : in std_logic;
        DI11     : in std_logic;
        DI10     : in std_logic;
        DI9     : in std_logic;
        DI8     : in std_logic;
        DI7     : in std_logic;
        DI6     : in std_logic;
        DI5     : in std_logic;
        DI4     : in std_logic;
        DI3     : in std_logic;
        DI2     : in std_logic;
        DI1     : in std_logic;
        DI0     : in std_logic;
        DA_R15     : in std_logic;
        DA_R14     : in std_logic;
        DA_R13     : in std_logic;
        DA_R12     : in std_logic;
        DA_R11     : in std_logic;
        DA_R10     : in std_logic;
        DA_R9     : in std_logic;
        DA_R8     : in std_logic;
        DA_R7     : in std_logic;
        DA_R6     : in std_logic;
        DA_R5     : in std_logic;
        DA_R4     : in std_logic;
        DA_R3     : in std_logic;
        DA_R2     : in std_logic;
        DA_R1     : in std_logic;
        DA_R0     : in std_logic;
        DA_I15     : in std_logic;
        DA_I14     : in std_logic;
        DA_I13     : in std_logic;
        DA_I12     : in std_logic;
        DA_I11     : in std_logic;
        DA_I10     : in std_logic;
        DA_I9     : in std_logic;
        DA_I8     : in std_logic;
        DA_I7     : in std_logic;
        DA_I6     : in std_logic;
        DA_I5     : in std_logic;
        DA_I4     : in std_logic;
        DA_I3     : in std_logic;
        DA_I2     : in std_logic;
        DA_I1     : in std_logic;
        DA_I0     : in std_logic;
        DB_R15     : in std_logic;
        DB_R14     : in std_logic;
        DB_R13     : in std_logic;
        DB_R12     : in std_logic;
        DB_R11     : in std_logic;
        DB_R10     : in std_logic;
        DB_R9     : in std_logic;
        DB_R8     : in std_logic;
        DB_R7     : in std_logic;
        DB_R6     : in std_logic;
        DB_R5     : in std_logic;
        DB_R4     : in std_logic;
        DB_R3     : in std_logic;
        DB_R2     : in std_logic;
        DB_R1     : in std_logic;
        DB_R0     : in std_logic;
        DB_I15     : in std_logic;
        DB_I14     : in std_logic;
        DB_I13     : in std_logic;
        DB_I12     : in std_logic;
        DB_I11     : in std_logic;
        DB_I10     : in std_logic;
        DB_I9     : in std_logic;
        DB_I8     : in std_logic;
        DB_I7     : in std_logic;
        DB_I6     : in std_logic;
        DB_I5     : in std_logic;
        DB_I4     : in std_logic;
        DB_I3     : in std_logic;
        DB_I2     : in std_logic;
        DB_I1     : in std_logic;
        DB_I0     : in std_logic;
        AUTO      : in std_logic;
        DMA       : in std_logic;
        START     : in std_logic;
        CE        : in std_logic;
        CLK       : in std_logic;

        RAMA_OE : out std_logic;
        RAMB_OE : out std_logic;
        RAMA_CS : out std_logic;
        RAMB_CS : out std_logic;
        DONE    : out std_logic;
        DMA_IN_PROGRESS : out std_logic;
        DOA_R15  : out std_logic;
        DOA_R14  : out std_logic;
        DOA_R13  : out std_logic;
        DOA_R12  : out std_logic;
        DOA_R11  : out std_logic;
        DOA_R10  : out std_logic;
        DOA_R9  : out std_logic;
        DOA_R8  : out std_logic;
        DOA_R7  : out std_logic;
        DOA_R6  : out std_logic;
        DOA_R5  : out std_logic;
        DOA_R4  : out std_logic;
        DOA_R3  : out std_logic;
        DOA_R2  : out std_logic;
        DOA_R1  : out std_logic;
        DOA_R0  : out std_logic;
        DOA_I15  : out std_logic;
        DOA_I14  : out std_logic;
        DOA_I13  : out std_logic;
        DOA_I12  : out std_logic;
        DOA_I11  : out std_logic;
        DOA_I10  : out std_logic;
        DOA_I9  : out std_logic;
        DOA_I8  : out std_logic;
        DOA_I7  : out std_logic;
        DOA_I6  : out std_logic;
        DOA_I5  : out std_logic;
        DOA_I4  : out std_logic;
        DOA_I3  : out std_logic;
        DOA_I2  : out std_logic;
        DOA_I1  : out std_logic;
        DOA_I0  : out std_logic;
        DOB_R15  : out std_logic;
        DOB_R14  : out std_logic;
        DOB_R13  : out std_logic;
        DOB_R12  : out std_logic;
        DOB_R11  : out std_logic;
        DOB_R10  : out std_logic;
        DOB_R9  : out std_logic;
        DOB_R8  : out std_logic;
        DOB_R7  : out std_logic;
        DOB_R6  : out std_logic;
        DOB_R5  : out std_logic;
        DOB_R4  : out std_logic;
        DOB_R3  : out std_logic;
        DOB_R2  : out std_logic;
        DOB_R1  : out std_logic;
        DOB_R0  : out std_logic;
        DOB_I15  : out std_logic;
        DOB_I14  : out std_logic;
        DOB_I13  : out std_logic;
        DOB_I12  : out std_logic;
        DOB_I11  : out std_logic;
        DOB_I10  : out std_logic;
        DOB_I9  : out std_logic;
        DOB_I8  : out std_logic;
        DOB_I7  : out std_logic;
        DOB_I6  : out std_logic;
        DOB_I5  : out std_logic;
        DOB_I4  : out std_logic;
        DOB_I3  : out std_logic;
        DOB_I2  : out std_logic;
        DOB_I1  : out std_logic;
        DOB_I0  : out std_logic;
        XK_R15  : out std_logic;
        XK_R14  : out std_logic;
        XK_R13  : out std_logic;
        XK_R12  : out std_logic;
        XK_R11  : out std_logic;
        XK_R10  : out std_logic;
        XK_R9  : out std_logic;
        XK_R8  : out std_logic;
        XK_R7  : out std_logic;
        XK_R6  : out std_logic;
        XK_R5 : out std_logic;
        XK_R4  : out std_logic;
        XK_R3  : out std_logic;
        XK_R2  : out std_logic;
        XK_R1  : out std_logic;
        XK_R0  : out std_logic;
        XK_I15  : out std_logic;
        XK_I14  : out std_logic;
        XK_I13  : out std_logic;
        XK_I12  : out std_logic;
        XK_I11  : out std_logic;
        XK_I10  : out std_logic;
        XK_I9  : out std_logic;
        XK_I8  : out std_logic;
        XK_I7  : out std_logic;
        XK_I6  : out std_logic;
        XK_I5  : out std_logic;
        XK_I4  : out std_logic;
        XK_I3  : out std_logic;
        XK_I2  : out std_logic;
        XK_I1  : out std_logic;
        XK_I0  : out std_logic;
        K9  : out std_logic;
        K8  : out std_logic;
        K7  : out std_logic;
        K6  : out std_logic;
        K5  : out std_logic;
        K4  : out std_logic;
        K3  : out std_logic;
        K2  : out std_logic;
        K1  : out std_logic;
        K0  : out std_logic;
        RAMA_A9  : out std_logic;
        RAMA_A8  : out std_logic;
        RAMA_A7  : out std_logic;
        RAMA_A6  : out std_logic;
        RAMA_A5  : out std_logic;
        RAMA_A4  : out std_logic;
        RAMA_A3  : out std_logic;
        RAMA_A2  : out std_logic;
        RAMA_A1  : out std_logic;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -