虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > 教程资料 > UART 4 UART参考设计,Xilinx提供VHDL代码

UART 4 UART参考设计,Xilinx提供VHDL代码

  • 资源大小:12 K
  • 上传时间: 2013-11-07
  • 上传用户:覃耐两
  • 资源积分:2 下载积分
  • 标      签: UART Xilinx VHDL 参考设计

资 源 简 介

UART 4 UART参考设计,Xilinx提供VHDL代码 uart_vhdl

This zip file contains the following folders:

 \vhdl_source  -- Source VHDL files:
     uart.vhd  - top level file
     txmit.vhd - transmit portion of uart
     rcvr.vhd -  - receive portion of uart

\vhdl_testfixture  -- VHDL Testbench files. This files only include the testbench behavior, they
        do not instantiate the DUT. This can easily be done in a top-level VHDL
         file or a schematic. This folder contains the following files:

     txmit_tb.vhd  -- Test bench for txmit.vhd.

     rcvr_tf.vhd  -- Test bench for rcvr.vhd.

相 关 资 源

您 可 能 感 兴 趣 的