虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > 教程资料 > 基于FPGA的VGA控制器设计与实现

基于FPGA的VGA控制器设计与实现

  • 资源大小:273 K
  • 上传时间: 2013-10-26
  • 上传用户:好来一世为红颜
  • 资源积分:2 下载积分
  • 标      签: FPGA VGA 制器设计

资 源 简 介

利用FPGA 设计一个类似点阵LCD 显示的VGA 显示控制器,可实现文字及简单的图表显示。工作时只需将要显示内容转换成对应字模送入FPGA,即可实现相应内容的显示。
关键词:FPGA;VGA;显示控制

随着数字图像处理的应用领域的不断扩大,其实时处理技术成为研究的热点。EDA(电子设计自动化)技术的迅猛发展为数字图像实时处理技术提供了硬件基础。其中FPGA 的特点适用于进行一些基于像素级的图像处理[1]。LCD 和CRT 显示器作为一种通用型显示设备,如今已经广泛应用于工作和生活中。与嵌入式系统中常用的显示器件相比,它具有显示面积大、色彩丰富、承载信息量大、接口简单等优点,如果将其应用到嵌入式系统中,可以显著提升产品的视觉效果。为此,尝试将VGA 显示的控制转化到FPGA 来完成实现。

相 关 资 源

您 可 能 感 兴 趣 的