虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > 技术资料 > 基于FPGA 的温控定时喷灌系统设计

基于FPGA 的温控定时喷灌系统设计

  • 资源大小:128 K
  • 上传时间: 2024-03-19
  • 上传用户:moh2000
  • 资源积分:2 下载积分
  • 标      签: FPGA 喷灌系统

资 源 简 介

文章在MAX+PLUS II 开发环境下采用VHDL 语言,设计并实现了温控定时喷灌系统, 讨论了系统的三个组成模块的设计和VHDL 实现。整体的生成采用图形输入法。波形仿真及下载芯片测试表明该设计方

相 关 资 源

您 可 能 感 兴 趣 的