虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > 技术资料 > vivado集成开发环境时序约束介绍

vivado集成开发环境时序约束介绍

  • 资源大小:14464 K
  • 上传时间: 2022-03-26
  • 上传用户:kid1423
  • 资源积分:2 下载积分
  • 标      签: vivado

资 源 简 介

本文主要介绍如何在Wado设计套件中进行时序约束,原文出自 xilinx中文社区。

1 Timing Constraints in Vivado-UCF to xdcVivado软件相比于sE的一大转变就是约束文件,5E软件支持的是UcF(User Constraints file,而 Vivado软件转换到了XDc(Xilinx Design Constraints)。XDC主要基于SDc(Synopsys Design Constraints)标准,另外集成了Xinx的一些约束标准可以说这一转变是xinx向业界标准的靠拢。Altera从 TimeQuest开始就一直使用SDc标准,这一改变,相信对于很多工程师来说是好事,两个平台之间的转换会更加容易些。首先看一下业界标准SDc的原文介绍:

Synopsys widely-used design constraints format, known as sDc, describes the design intent"and surrounding constraints for synthesis, clocking, timing, power, test and environmental and operating conditions. sDc has been in use and evolving for more than 20 years, making it the most popular and proven format for describing design constraints. Essentially all synthesized designs use SDc and numerous EDa companies have translators that can read and process sDc

相 关 资 源

您 可 能 感 兴 趣 的