虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > 软件设计/软件工程 > l、设计用于竞赛的四人抢答器

l、设计用于竞赛的四人抢答器

  • 资源大小:299 K
  • 上传时间: 2014-11-17
  • 上传用户:xingxian
  • 资源积分:2 下载积分
  • 标      签: 竞赛 抢答器

资 源 简 介

l、设计用于竞赛的四人抢答器,功能如下: (1) 有多路抢答器,台数为四; (2) 具有抢答开始后20秒倒计时,20秒倒计时后无人抢答显示超时,并报警; (3) 能显示超前抢答台号并显示犯规警报; (4) 能显示各路得分,并具有加、减分功能; 2、系统复位后进入抢答状态,当有一路抢答键按下时,该路抢答信号将其余各路抢答封锁,同时铃声响,直至该路按键松开,显示牌显示该路抢答台号。 3、用VHDL语言设计符合上述功能要求的四人抢答器,并用层次设计方法设计该电路

相 关 资 源

您 可 能 感 兴 趣 的