虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > 书籍源码 > 使用VHDL语言编写的简单8位流水线CPU 它有六级流水功能

使用VHDL语言编写的简单8位流水线CPU 它有六级流水功能

  • 资源大小:877 K
  • 上传时间: 2013-12-18
  • 上传用户:johni
  • 资源积分:2 下载积分
  • 标      签: VHDL CPU 8位 语言

资 源 简 介

使用VHDL语言编写的简单8位流水线CPU 它有六级流水功能,通过仿真 可以下载到实验箱,也有波形仿真

相 关 资 源