虫虫首页|资源下载|资源专辑|精品软件
登录|注册

您现在的位置是:虫虫下载站 > 资源下载 > 学术论文 > SVPWM算法优化及其FPGACPLD实现.rar

SVPWM算法优化及其FPGACPLD实现.rar

  • 资源大小:2272 K
  • 上传时间: 2013-06-27
  • 上传用户:xiaoy2000
  • 资源积分:2 下载积分
  • 标      签: FPGACPLD SVPWM 算法优化

资 源 简 介

电压空间矢量脉冲宽度调制技术是一种性能优越、易于数字化实现的脉冲宽度调制方案。在常规SVPWM算法中,判定等效电压空间矢量所处扇区位置时需要进行坐标旋转和反正切三角函数的运算,计算特定电压空间矢量作用时间时需要进行正弦、余弦三角函数的运算以及过饱和情况下的归一化处理过程,同时,在整个SVPWM算法中还包含了无理数的运算,这些复杂计算不可避免地会产生大量计算误差,对高精度实时控制产生不可忽视的影响,而且这些复杂运算的计算量大,对系统的处理速度要求高,程序设计复杂,系统运行时间长,占用系统资源多。因此,从工程实际应用的角度出发,需要对常规SVPWM算法进行优化设计。 本文提出的优化SVPWM算法,只需进行普通的四则运算,计算非常简单,克服了上述常规SVPWM算法中的缺点,同时,采用交叉分配零电压空间矢量,并将零电压空间矢量的切换点置于各扇区中点的方法,达到降低三相桥式逆变电路中开关器件开关损耗的目的。SVPWM算法要求高速的数据处理能力,传统的MCU、DSP都难以满足其要求,而具有高速数据处理能力的FPGA/CPLD则可以很好的实现SVPWM的控制功能,在实时性、灵活性等方面有着MCU、DSP无法比拟的优越性。本文利用MATLAB/Simulink软件对优化的SVPWM系统原型进行建模和仿真,当仿真效果达到SVPWM系统控制要求后,在XilinxISE环境下采用硬件描述语言设计输入方法与原理图设计输入方法相结合的混合设计输入方法进行FPGA/CPLD的电路设计与输入,建立相同功能的SVPWM系统模型,然后利用ISESimulator(VHDL/Verilog)仿真器进行功能仿真和性能分析,验证了本文提出的SVPWM优化设计方案的可行性和有效性。

相 关 资 源