代码搜索结果
找到约 34,281 项符合
A 的代码
regfile.vhd
-- A 16X8 register file
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity regfile is
port (q : out std_logic_vector (7 downto 0);
d : in std_log
decoder2.vhd
-- A simple 3to8 decoder that demonstrates
-- indexing by a signal
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity decoder is
port (inp: in std_logic
mux4to1.vhd
-- a 4 to 1 mux
library ieee;
use ieee.std_logic_1164.all;
entity mux is
port (output_signal: out std_logic;
in1, in2, in3, in4: in std_logic;
sel: i
decoder3.vhd
-- A simple 3to8 decoder
library ieee;
use ieee.std_logic_1164.all;
entity decoder is
port ( inp: in std_logic_vector(2 downto 0);
outp: out std_logic_vector(7 downto 0));
end
decoder1.vhd
-- A very short and clean manner of describing
-- a decoder
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity decoder is
port (inp: in std_logic_vector(2 down
layer.txt
<mark>A</mark>!L<mark>A</mark>YER_SORT!L<mark>A</mark>YER_SUBCL<mark>A</mark>SS!L<mark>A</mark>YER_<mark>A</mark>RTWORK!L<mark>A</mark>YER_USE!L<mark>A</mark>YER_CONDUCTOR!L<mark>A</mark>YER_DIELECTRIC_CONST<mark>A</mark>NT!L<mark>A</mark>YER_ELECTRIC<mark>A</mark>L_CONDUCTIVITY!L<mark>A</mark>YER_M<mark>A</mark>TERI<mark>A</mark>L!L<mark>A</mark>YER_SHIELD_L<mark>A</mark>YER!L<mark>A</mark>YER_TH ...
s1649a.probe
A!REFDES!PIN_NUMBER!PIN_X!PIN_Y!START_LAYER_NAME!END_LAYER_NAME!VIA_X!VIA_Y!TEST_POINT!NET_NAME!COMP_VALUE!
J!/customers/actel/s1649/reva/outputs/rev03/outputs/s1649a/s1649a.brd!Tue May 31 10:51:43 20
pad_def.txt
<mark>A</mark>!P<mark>A</mark>D_N<mark>A</mark>ME!REC_NUMBER!L<mark>A</mark>YER!FIXFL<mark>A</mark>G!VI<mark>A</mark>FL<mark>A</mark>G!P<mark>A</mark>DSH<mark>A</mark>PE1!P<mark>A</mark>DWIDTH!P<mark>A</mark>DHGHT!P<mark>A</mark>DXOFF!P<mark>A</mark>DYOFF!P<mark>A</mark>DFL<mark>A</mark>SH!P<mark>A</mark>DSH<mark>A</mark>PEN<mark>A</mark>ME!TRELSH<mark>A</mark>PE1!TRELWIDTH!TRELHGHT!TRELXOFF!TRELY ...
comp_pad.txt
A!NET_NAME!CLASS!REFDES!SYM_X!SYM_Y!PIN_NUMBER!PIN_X!PIN_Y!PAD_STACK_NAME!VIA_X!VIA_Y!PIN_ROTATION!TEST_POINT!NET_PROBE_NUMBER!PIN_NAME!PIN_TYPE!
J!/customers/actel/s1649/reva/outputs/rev03/outputs/s1