代码搜索结果

找到约 25,813 项符合 8 的代码

8-isd4004.lnp

"8-isd4004.obj" TO "8-isd4004"

8-isd4004.lnp

"8-isd4004.obj" TO "8-isd4004"

8条口线24按键的c51源程序 .c

//8条口线24按键的C51源程序 //海涛的笔记 //键盘扫描处理,无按键返回0,有按键返回键值,键值对应于keycode[]下标值。8条(以P2为例)口线24按键 //键盘码也可定义为局部数组变量 unsigned char code keycode[]= { 0x00,0xee,0xde,0xbe,0xed,0xdd,0xbd,0xeb,0xdb,0x

8位相等比较器.txt

-- 8-bit Identity Comparator -- uses 1993 std VHDL -- download from www.pld.com.cn & www.fpga.com.cn library IEEE; use IEEE.Std_logic_1164.all; entity HCT688 is port(Q, P : in std_logic_v

8.5.__i

"8.5.c" BROWSE DEBUG OBJECTEXTEND

8.3.__i

"8.3.c" BROWSE DEBUG OBJECTEXTEND

8位流水灯.vhd

--8位流水灯程序,采用双进程实现。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity relamp is port(clock:in std_logic; y:out std_logic_vector(7 downto 0)); end relamp;

8条口线24按键的c51源程序.txt

8条口线24按键的C51源程序 海涛的笔记 //键盘扫描处理,无按键返回0,有按键返回键值,键值对应于keycode[]下标值。8条(以P2为例)口线24按键 //键盘码也可定义为局部数组变量 unsigned char code keycode[]= {0x00,0xee,0xde,0xbe,0xed,0xdd,0xbd,0xeb,0xdb,0xbb,0xd

8个io接36个按键.c

8个IO接36个按键(没有用二极管)--键扫描程序 /* 备注: 这张图是由网友fsaok提供的. */ HotPower 发表于 2004-8-6 20:30 侃单片机 ←返回版面 举报该贴 #include "REG52.h"//不知为何发帖不能用尖括弧????? #include "intrins.h"//不知为何发帖不能用尖括弧?????