虫虫首页|资源下载|资源专辑|精品软件
登录|注册

时钟抖动

时钟抖动(thejitteroftherecoveredclocksignal)是相对于理想时钟沿实际时钟存在不随时间积累的、时而超前、时而滞后的偏移称为时钟抖动,简称抖动.可以用抖动频率和抖动幅度对时钟抖动进行定量描述。通常希望一个周期性波形(特别是时钟)跨过特定门限的时间非常精确,与该理想值的偏差称为抖动.
  • 时钟抖动和相位噪声对采样系统的影响

    如果明智地选择时钟,一份简单的抖动规范几乎是不够的。而重要的是,你要知道时钟噪声的带宽和频谱形状,才能在采样过程中适当地将它们考虑进去。很多系统设计师对数据转换器时钟的相位噪声和抖动要求规定得不够高,几皮秒的时钟抖动很快就转换成信号路径上的数分贝损耗。

    标签: 时钟抖动 相位噪声 采样系统

    上传时间: 2014-12-23

    上传用户:dreamboy36

  • 时钟抖动时域分析(下)

    时钟抖动时域分析(下):

    标签: 时钟抖动 时域分析

    上传时间: 2013-11-17

    上传用户:rocketrevenge

  • 基于FPGA的时钟跟踪环路的设计

    提出了一种基于FPGA的时钟跟踪环路的设计方案,该方案简化了时钟跟踪环路的结构,降低了时钟调整电路的复杂度。实际电路测试结果表明,该方案能够使接收机时钟快速准确地跟踪发射机时钟的变化,且时钟抖动小、稳准度高、工作稳定可靠。

    标签: FPGA 时钟 跟踪环路

    上传时间: 2014-12-28

    上传用户:498732662

  • Xilinx FPGA全局时钟资源的使用方法

    目前,大型设计一般推荐使用同步时序电路。同步时序电路基于时钟触发沿设计,对时钟的周期、占空比、延时和抖动提出了更高的要求。为了满足同步时序设计的要求,一般在FPGA设计中采用全局时钟资源驱动设计的主时钟,以达到最低的时钟抖动和延迟。 FPGA全局时钟资源一般使用全铜层工艺实现,并设计了专用时钟缓冲与驱动结构,从而使全局时钟到达芯片内部的所有可配置单元(CLB)、I/O单元 (IOB)和选择性块RAM(Block Select RAM)的时延和抖动都为最小。为了适应复杂设计的需要,Xilinx的FPGA中集成的专用时钟资源与数字延迟锁相环(DLL)的数目不断增加,最新的 Virtex II器件最多可以提供16个全局时钟输入端口和8个数字时钟管理模块(DCM)。与全局时钟资源相关的原语常用的与全局时钟资源相关的Xilinx器件原语包括:IBUFG、IBUFGDS、BUFG、BUFGP、BUFGCE、 BUFGMUX、BUFGDLL和DCM等,如图1所示。  

    标签: Xilinx FPGA 全局时钟资源

    上传时间: 2014-01-01

    上传用户:maqianfeng

  • 基于FPGA的时钟跟踪环路的设计

    提出了一种基于FPGA的时钟跟踪环路的设计方案,该方案简化了时钟跟踪环路的结构,降低了时钟调整电路的复杂度。实际电路测试结果表明,该方案能够使接收机时钟快速准确地跟踪发射机时钟的变化,且时钟抖动小、稳准度高、工作稳定可靠。

    标签: FPGA 时钟 跟踪环路

    上传时间: 2015-01-01

    上传用户:bhqrd30

  • Xilinx FPGA全局时钟资源的使用方法

    目前,大型设计一般推荐使用同步时序电路。同步时序电路基于时钟触发沿设计,对时钟的周期、占空比、延时和抖动提出了更高的要求。为了满足同步时序设计的要求,一般在FPGA设计中采用全局时钟资源驱动设计的主时钟,以达到最低的时钟抖动和延迟。 FPGA全局时钟资源一般使用全铜层工艺实现,并设计了专用时钟缓冲与驱动结构,从而使全局时钟到达芯片内部的所有可配置单元(CLB)、I/O单元 (IOB)和选择性块RAM(Block Select RAM)的时延和抖动都为最小。为了适应复杂设计的需要,Xilinx的FPGA中集成的专用时钟资源与数字延迟锁相环(DLL)的数目不断增加,最新的 Virtex II器件最多可以提供16个全局时钟输入端口和8个数字时钟管理模块(DCM)。与全局时钟资源相关的原语常用的与全局时钟资源相关的Xilinx器件原语包括:IBUFG、IBUFGDS、BUFG、BUFGP、BUFGCE、 BUFGMUX、BUFGDLL和DCM等,如图1所示。  

    标签: Xilinx FPGA 全局时钟资源

    上传时间: 2013-11-20

    上传用户:563686540

  • 基于FPGA的高速串行接口模块仿真设计.rar

    现代社会信息量爆炸式增长,由于网络、多媒体等新技术的发展,用户对带宽和速度的需求快速增加。并行传输技术由于时钟抖动和偏移,以及PCB布线的困难,使得传输速率的进一步提升面临设计的极限;而高速串行通信技术凭借其带宽大、抗干扰性强和接口简单等优势,正迅速取代传统的并行技术,成为业界的主流。 本论文针对目前比较流行并且有很大发展潜力的两种高速串行接口电路——高速链路口和Rocket I/O进行研究,并以Xilinx公司最新款的Virtex-5 FPGA为研究平台进行仿真设计。本论文的主要工作是以某低成本相控阵雷达信号处理机为设计平台,在其中的一块信号处理板上,进行了基于LVDS(Low VoltageDifferential Signal)技术的高速LinkPort(链路口)设计和基于CML(Current ModeLogic)技术的Rocket I/O高速串行接口设计。首先在FPGA的软件中进行程序设计和功能、时序的仿真,当仿真验证通过之后,重点是在硬件平台上进行调试。硬件调试验证的方法是将DSP TS201的链路口功能与在FPGA中的模拟高速链路口相连接,进行数据的互相传送,接收和发送的数据相同,证明了高速链路口设计的正确性。并且在硬件调试时对Rocket IO GTP收发器进行回环设计,经过回环之后接收到的数据与发送的数据相同,证明了Rocket I/O高速串行接口设计的正确性。

    标签: FPGA 高速串行 接口模块

    上传时间: 2013-04-24

    上传用户:恋天使569

  • ADC的九个关键指标

        模拟转换器性能不只依赖分辨率规格   大量的模数转换器(ADC)使人们难以选择最适合某种特定应用的ADC器件。工程师们选择ADC时,通常只注重位数、信噪比(SNR)、谐波性能,但是其它规格也同样重要。本文将介绍ADC器件最易受到忽视的九项规格,并说明它们是如何影响ADC性能的。   1. SNR比分辨率更为重要。   ADC规格中最常见的是所提供的分辨率,其实该规格并不能表明ADC器件的任何能力。但可以用位数n来计算ADC的理论SNR:   不 过工程师也许并不知道,热噪声、时钟抖动、差分非线性(DNL)误差以及其它参数异常都会限制ADC器件的SNR。对于高性能高分辨率转换器尤其如此。一 些数据表提供有效位数(ENOB)规格,它描述了ADC器件所能提供的有效位数。为了计算ADC的ENOB值,应把测量的SNR值放入上述公式,并求解 n。

    标签: ADC 指标

    上传时间: 2014-12-22

    上传用户:z240529971

  • 简单的可配置dpll的VHDL代码。 用于时钟恢复后的相位抖动的滤波有很好的效果

    简单的可配置dpll的VHDL代码。 用于时钟恢复后的相位抖动的滤波有很好的效果, 而且可以参数化配置pll的级数。

    标签: dpll VHDL 可配置 代码

    上传时间: 2013-12-13

    上传用户:lwwhust

  • FPGA内嵌200MHz低噪声锁相环时钟发生器

    FPGA器件在通信、消费类电子等领域应用越来越广泛,随着FPGA规模的增大、功能的加强对时钟的要求也越来越高。在FPGA中嵌入时钟发生器对解决该问题是一个不错的选择。本论文首先,描述并分析了电荷泵锁相环时钟发生器的体系结构、组成单元及各单元的非理想特性;然后讨论并分析了电荷泵锁相环的小信号特性和瞬态特性;并给出了电荷泵锁相环器件参数的计算表达式。其次,研究了环形振荡器和锁相环的相位噪声特性。由于噪声性能是时钟发生器设计中的关键指标,本工作对此进行了较为详细的分析。相位噪声和抖动是衡量时钟信号的两个主要指标。文中从理论上推导了一阶锁相环的噪声特性,并建立了由噪声分析抖动和由抖动分析噪声的解析表达式关系,并讨论了环路低噪声设计的基本原则。在前面讨论和分析的基础上,利用Hynix0.35umCMOS工艺设计了200MHz电荷泵锁相环时钟发生器,并进行了仿真。设计中环形振荡器的延迟单元采用replica偏置结构,把延迟单元输出摆幅限定在确定范围,尾电流源采用cascode结构,增强电路对电源和衬底噪声的抑制作用。通过增加限流管,改善电荷泵中的开关的非理想特性。

    标签: FPGA 200 MHz 内嵌

    上传时间: 2013-04-24

    上传用户:变形金刚