虫虫首页|资源下载|资源专辑|精品软件
登录|注册

S2

  • 数字密码锁:S0是复位状态:密码为00000001

    数字密码锁:S0是复位状态:密码为00000001,关锁。 S1是开锁状态。 S2是修改密码状态:将输入的code作为新密码,关锁。硬件用FPGA2000实现

    标签: 00000001 数字密码锁 复位 密码

    上传时间: 2013-12-20

    上传用户:xinyuzhiqiwuwu

  • 一款类似百度知道系统的问题解答系统

    一款类似百度知道系统的问题解答系统,问题页面自动生成静态网页- M( ]- `+ X0 x 系统特色: + O$ U8 n- [ z# {/ E本系统结合了仿百度知道程序和仿新浪爱问程序的共同优点,设计更加合理 6 X) H8 a5 t9 h& D6 e8 S9 p2 P 问题页面实时生成静态页面,减少系统开销,更利于搜索引擎的收录 ! f5 U& N3 J+ B7 I" S完美使用系统缓存,减少数据库读取次数 b T |, g1 v9 \" E* Z 完善的后台管理功能 # u5 C: I- c$ P6 P( H9 S2 w支持问题的附件上传 . U! K+ k ~) o 对搜索引擎的优化:支持Keywords和Description页面头部标签的自定义 6 ^! h: m- U4 p( z# X支持 JS 代码的问题调用 t8 E5 ]) b3 M8 x* z提供与动网、oblog、动易实现用户整合的标准接口 ) {4 \ ` ]1 g1 F8 u

    标签: 百度

    上传时间: 2013-11-27

    上传用户:ztj182002

  • 目的: 1.通过波形产生的例子掌握D/A转换的方法。 2.了解各种波形发生器的编程实现。 实验的按键通过A口输入

    目的: 1.通过波形产生的例子掌握D/A转换的方法。 2.了解各种波形发生器的编程实现。 实验的按键通过A口输入,转换结果由DAC1和DAC2引脚输出。在实验中,可以将两个输出接至示波器和扬声器,通过示波器可以观察波形输出,另外可以听到扬声器持续间断的声音。 按S1将产生锯齿波,按S2产生三角波,按S3产生正弦波。

    标签: 波形产生 实验 按键 转换

    上传时间: 2013-12-22

    上传用户:youke111

  • 关于代码的解释(以区为单位): 1区中

    关于代码的解释(以区为单位): 1区中,当读取文件时,先把文件内容读到缓存中,当调用in.readLine()时,再从缓存中以字符的方式读取数据(以下简称“缓存字节读取方式”)。 1b区中,由于想以缓存字节读取方式从标准IO(键盘)中读取数据,所以要先把标准IO(System.in)转换成字符导向的stream,再进行BufferedReader封装。 2区中,要以字符的形式从一个String对象中读取数据,所以要产生一个StringReader类型的stream。 4区中,对String对象S2读取数据时,先把对象中的数据存入缓存中,再从缓冲中进行读取;对TestIO.out文件进行操作时,先把格式化后的信息输出到缓存中,再把缓存中的信息输出到文件中。 5区中,对Data.txt文件进行输出时,是先把基本类型的数据输出屋缓存中,再把缓存中的数据输出到文件中;对文件进行读取操作时,先把文件中的数据读取到缓存中,再从缓存中以基本类型的形式进行读取。注意in5.readDouble()这一行。因为写入第一个writeDouble(),所以为了正确显示。也要以基本类型的形式进行读取。 6区是通过RandomAccessFile类对文件进行操作。

    标签: 代码 单位

    上传时间: 2013-12-27

    上传用户:Shaikh

  • VHDL数字钟

    用vhdl实现数字钟 其一,具有时,分,秒计数显示功能,其中,要求以二十四小时循环及 时;   其二,具有清零,调节小时,分钟功能;   其三,具有整点报时功能,而且在整点报时的同时 LED 灯花样显示。 其一,具有时,分,秒计数显示功能,其中,要求以二十四小时循环及 时;   其二,具有清零,调节小时,分钟功能;   其三,具有整点报时功能,而且在整点报时的同时 LED 灯花样显示。 其一,具有时,分,秒计数显示功能,其中,要求以二十四小时循环及

    标签: 用vhdl实现数字钟

    上传时间: 2015-06-24

    上传用户:吧和GV会比较好

  • 共轭梯度法--MATLAB程序

    共轭梯度法为求解线性方程组而提出。后来,人们把这种方法用于求解无约束最优化问题, 使之成为一种重要的最优化方法。   共轭梯度法的基本思想是把共轭性与最速下降方法相结合, 利用已知点处的梯度构造一组共 轭方向, 并沿这组方向进行搜索, 求出目标函数的极小点。 根据共轭方向的基本性质, 这种 方法具有二次终止性。 在各种优化算法中, 共轭梯度法是非常重要的一种。 其优点是所需存 储量小,具有步收敛性,稳定性高,而且不需要任何外来参数。   共轭方向   无约束最优化方法的核心问题是选择搜索方向 . 在本次实验中 , 我们运用基于共轭方向的一种 算法 — 共轭梯度法   三.算法流程图:     四.实验结果:   (1). 实验函数   f=(3*x1-cos(x2*x3)-1/2)^2+(x1^2-81*(x2+0.1)+sin(x3)+1.06)^2+(exp(-x1*x2)+20*x3+ 1/3*(10*3.14159-3))^2;   给定初始点 (0,0,0) , k=1 ,最 大迭代次数 n       d   确定搜索方向   进 退 法 确 定 搜 索 区 间   分割法确定最 优步长  

    标签: MATLAB 梯度 程序

    上传时间: 2016-05-08

    上传用户:saren11

  • 1602数字时钟

    # include < reg52.h > # include < 24C02.h> # define uint unsigned int # define uchar unsigned char   sbit rs = P3^5;  //定义lcd1602的rs端 sbit lcden = P3^4;//定义lcd1602的lcden端口 sbit s1 = P3^0;//定义功能键s1 sbit S2 = P3^1;//定义功能键S2 sbit s3 = P3^2;//定义功能键s3   sbit beep = P2^3;//定义蜂鸣器   uchar count, s1num; char miao,fen,shi; uchar code table[] = "Designer:X_ZL ";//定义初始上电时液晶默认显示状态     void delay_ms( xms )   //定义延时函数 { uint i,j; for( i = xms ; i > 0 ; i --) for( j = 110 ; j > 0 ; j --); }  

    标签: 1602 数字时钟程序

    上传时间: 2016-07-28

    上传用户:游戏好吗

  • 超敏捷动中成像高分辨率遥感卫星成像理论分析

    对超敏捷动中成像遥感卫星角速度快(6 (°)/s)、角加速度大(1.5 (°)/S2)、成像参数随时空复杂多变等新问题,开展了超敏捷动中成像特点分析与成像参数仿真分析工作。构建了动中成像复杂模型,精确分析了动中成像合速度的变化规律。在此基础上,结合信噪比、调制传递函数(MTF)等计算公式,全面分析了不同成像条件下,动中成像系统的行频、TDI级数、姿态稳定度MTF、同步误差MTF、偏流修正误差MTF等随角速度的变化关系,为超敏捷动中成像卫星,尤其是卫星的成像电子学,提供了重要的设计依据。 

    标签: 动中成像 高分辨率 遥感卫星

    上传时间: 2020-02-16

    上传用户:shiguiguo

  • VHDL4选1数据选择器

    VHDL编写的4选一数据选择器 entity mux41a is        port(a,b:in std_logic;                s1,S2,s3,s4:in std_logic;                y: out std_logic); end entity mux41a; architecture one of mux41a is signal ab:std_logic_vector(1 downto 0);

    标签: VHDL 数据选择器

    上传时间: 2020-05-15

    上传用户:cdga

  • gre数学

    ets gre 数学考试讲义, Mathematical Conventions for the Quantutative Reasoning Measure of the GRE revised General Test   for the Quantitative Reasoning Measure of the GRE® revised General Test 

    标签: gre

    上传时间: 2021-09-07

    上传用户:zghflxj