Motoko it s a 2D library to handle the graphical user interface of the game. It supports the basic controls of the windows GUI look like: PictureBox, TextBox, ListBox, LabelBox, ControlListBox, ComboBox, Button, CheckButton, Dialog, Panel, HScrollBar and VScrollBar. It uses the library CRM32Pro, so the CRM32Pro devkit will be needed to develop any application with Motoko, and it s contained in the Motoko devkit. The Motoko library is under the LGPL license, so read first the license if you want to make any change to the library.
标签: the graphical interface supports
上传时间: 2013-12-20
上传用户:yoleeson
flash 键盘音效取自win2000系统ding.wav,经过CoolEdit处理成音阶,在Flash中导入在相应按钮上。 没有难度,就是耐心一点,成绩不错哦! 对应表: 低音G-a #G-w A-s #A-e B-d 中音C-f #C-t D-g #D-y E-h F-j #F-i G-k #G-o A-l #A-p B- 高音C-1 D-2 E-3 F-4 G-5 A-6 B-7 C(high)-8 #C-c #D-v #F-b #G-n #A-m
上传时间: 2014-02-06
上传用户:ljmwh2000
This program is about data organization using Visual C++ tools.It is able to control the other s computers in the computer of oneself by running this program.
标签: organization program control Visual
上传时间: 2014-01-25
上传用户:ayfeixiao
Number of Namespaces in the project: 1 Number of Classes in the project: 6 Number of C# function(s) in the project: 2 Number of C# subroutine(s) in the project: 15 Number of VB.NET function(s) in the project: 1 Number of VB.NET subroutine(s) in the project: 10 Number of Application variable(s) used in the project: 3 Number of Session variable(s) used in the project: 1 Number of Distinct Email Addresses(s) found in the project: 4 Generation of documentation took 0 minute 18 seconds
标签: Number project Namespaces the
上传时间: 2013-12-09
上传用户:许小华
How to control the time s equence of LM3033B- 0BR3 LCD module by C51 programming was dis cus s ed in this paper. In this way the LCD module was driven by parallel communication and the characters and graphics could be were narrated in detail.
标签: programming control equence module
上传时间: 2017-05-29
上传用户:熊少锋
将魔王的语言抽象为人类的语言:魔王语言由以下两种规则由人的语言逐步抽象上去的:α-〉β1β2β3…βm ;θδ1δ2…-〉θδnθδn-1…θδ1 设大写字母表示魔王的语言,小写字母表示人的语言B-〉tAdA,A-〉sae,eg:B(ehnxgz)B解释为tsaedsaeezegexenehetsaedsae对应的话是:“天上一只鹅地上一只鹅鹅追鹅赶鹅下鹅蛋鹅恨鹅天上一只鹅地上一只鹅”。(t-天d-地s-上a-一只e-鹅z-追g-赶x-下n-蛋h-恨)
上传时间: 2013-12-19
上传用户:aix008
This tutorial presents an introduction to Altera’s Nios R II processor, which is a soft processor that can be in- stantiated on an Altera FPGA device. It describes the basic architecture of Nios II and its instruction set. The NiosII processor and its associated memory and peripheral components are easily instantiated by using Altera’s SOPCBuilder in conjuction with the Quartus R II software.
标签: processor introduction tutorial presents
上传时间: 2014-12-08
上传用户:星仔
本论文研究了开源路由器的实现方法,通过具体的实验在X O R P 上实现了R I P , O S P F , B G P 等一系列协议,在P A C K E T T R A C E R 上进行了仿真,并对开源路由器进行了性能评价。
标签: 开源路由器
上传时间: 2015-02-21
上传用户:13666909595
本论文研究了开源路由器的实现方法,通过具体的实验在X O R P 上实现了R I P , O S P F , B G P 等一系列协议,在P A C K E T T R A C E R 上进行了仿真,并对开源路由器进行了性能评价。
标签: 开源路由器
上传时间: 2015-02-21
上传用户:13666909595
VHDL编写的4选一数据选择器 entity mux41a is port(a,b:in std_logic; s1,s2,s3,s4:in std_logic; y: out std_logic); end entity mux41a; architecture one of mux41a is signal ab:std_logic_vector(1 downto 0);
上传时间: 2020-05-15
上传用户:cdga