虫虫首页|资源下载|资源专辑|精品软件
登录|注册

variety

  • Nios II定制指令用户指南

         Nios II定制指令用户指南:With the Altera Nios II embedded processor, you as the system designer can accelerate time-critical software algorithms by adding custom instructions to the Nios II processor instruction set. Using custom instructions, you can reduce a complex sequence of standard instructions to a single instruction implemented in hardware. You can use this feature for a variety of applications, for example, to optimize software inner loops for digital signal processing (DSP), packet header processing, and computation-intensive applications. The Nios II configuration wizard,part of the Quartus® II software’s SOPC Builder, provides a graphical user interface (GUI) used to add up to 256 custom instructions to the Nios II processor. The custom instruction logic connects directly to the Nios II arithmetic logic unit (ALU) as shown in Figure 1–1.

    标签: Nios 定制 指令 用户

    上传时间: 2013-10-11

    上传用户:kang1923

  • XAPP390 - 利用CoolRunner-II CPLD设计数码相机

      Digital cameras have become increasingly popular over the last few years. Digital imagingtechnology has grown to new markets including cellular phones and PDA devices. With thediverse marketplace, a variety of imaging technology must be available. Imaging technologyhas expanded to include both charge-coupled device (CCD) and CMOS image sensors.

    标签: CoolRunner-II XAPP CPLD 390

    上传时间: 2013-10-16

    上传用户:18710733152

  • allegro cx manual教程

    We would like to welcome you as a user of the Allegro CX, a rugged, handheld fi  eld PC for data collection. Developed with the input of data collection professionals worldwide, the Allegro CX is adaptable and versatile for use in a wide variety of data collection environments. The Allegro CX continues to utilize our ergonomic, lightweight design that is standard in our line of Allegro Field PCs. This design makes your Allegro easy to use for extended periods while moving to and from data collection sites in the fi  eld.  

    标签: allegro manual cx 教程

    上传时间: 2015-01-01

    上传用户:zhangyi99104144

  • Virtex-5 GTP Transceiver Wizar

    The LogiCORE™ GTP Wizard automates the task of creating HDL wrappers to configure the high-speed serial GTP transceivers in Virtex™-5 LXT and SXT devices. The menu-driven interface allows one or more GTP transceivers to be configured using pre-definedtemplates for popular industry standards, or from scratch, to support a wide variety of custom protocols.The Wizard produces a wrapper, an example design, and a testbench for rapid integration and verification of the serial interface with your custom function Features• Creates customized HDL wrappers to configureVirtex-5 RocketIO™ GTP transceivers• Users can configure Virtex-5 GTP transceivers toconform to industry standard protocols usingpredefined templates, or tailor the templates forcustom protocols• Included protocol templates provide support for thefollowing specifications: Aurora, CPRI, FibreChannel 1x, Gigabit Ethernet, HD-SDI, OBSAI,OC3, OC12, OC48, PCI Express® (PCIe®), SATA,SATA II, and XAUI• Automatically configures analog settings• Each custom wrapper includes example design, testbench; and both implementation and simulation scripts

    标签: Transceiver Virtex Wizar GTP

    上传时间: 2013-10-20

    上传用户:dave520l

  • XAPP904 - CoolRunner-II特性LCD模块接口

      There are many manufacturers of dot matrix LCD modules. However, most of these displaysare similar. They all have on-board controllers and drivers capable of displaying alpha numericsand a wide variety of other symbols (including Japanese "Katakana" characters). The internaloperation of LCD controller devices is determined by signals sent from a central processing unit(in this case, a CoolRunner-II CPLD).

    标签: CoolRunner-II XAPP 904 LCD

    上传时间: 2013-12-16

    上传用户:haiya2000

  • 基于微处理器的5V系统接口

    This application note discusses a variety of approaches for interfacing analog signals to 5V powered systems. Synthesizing a "rail-to-rail" op amp and scaling techniques for A/D converters are covered. A voltage-to-frequency converter, applicable where high resolution is required, is also presented.  

    标签: 微处理器 系统接口

    上传时间: 2013-10-11

    上传用户:181992417

  • SL811开发资料_包含源程序_电路图_芯片资料

    SL811开发资料_包含源程序_电路图_芯片资料:SL811HS Embedded USB Host/Slave Controller.The SL811HS is an Embedded USB Host/Slave Controller capable of communicate with either full-speed or low-speed USB peripherals. The SL811HS can interface to devices such as microprocessors, microcontrollers, DSPs, or directly to a variety of buses such as ISA, PCMCIA, and others. The SL811HS USB Host Controller conforms to USB Specification 1.1.The SL811HS USB Host/Slave Controller incorporates USB Serial Interface functionality along with internal full-/low-speed transceivers.The SL811HS supports and operates in USB full-speed mode at 12 Mbps, or at low-speed 1.5-Mbps mode.The SL811HS data port and microprocessor interface provide an 8-bit data path I/O or DMA bidirectional, with interrupt support to allow easy interface to standard microprocessors or microcontrollers such as Motorola or Intel CPUs and many others. Internally,the SL811HS contains a 256-byte RAM data buffer which is used for control registers and data buffer.The available package types offered are a 28-pin PLCC (SL811HS) and a 48-pin TQFP package (SL811HST-AC). Both packages operate at 3.3 VDC. The I/O interface logic is 5V-tolerant.

    标签: 811 SL 开发资料 源程序

    上传时间: 2013-12-22

    上传用户:a82531317

  • ADO.NET in a Nutshell is the most complete and concise source of ADO.NET information available. Besi

    ADO.NET in a Nutshell is the most complete and concise source of ADO.NET information available. Besides being a valuable reference, this book covers a variety of issues that programmers face when developing web applications or web services that rely on database access. Most examples use Microsoft s C# language. The book s CD includes an add-in to integrate the reference with Visual Studio .NET help files.

    标签: information ADO NET available

    上传时间: 2015-01-11

    上传用户:nanfeicui

  • The Art of C++ by Herbert Schildt ISBN:0072255129 McGraw-Hill/Osborne © 2004 The author of

    The Art of C++ by Herbert Schildt ISBN:0072255129 McGraw-Hill/Osborne © 2004 The author of this text applies C++ to a wide variety of high-powered, practical applications, each focusing on a different aspect of the language. Examples range from a garbage collector subsystem and a thread control panel to AI-based searches and more.

    标签: McGraw-Hill 0072255129 The Herbert

    上传时间: 2015-07-17

    上传用户:lx9076

  • a Java toolkit for training, testing, and applying Bayesian Network Classifiers. Implemented classif

    a Java toolkit for training, testing, and applying Bayesian Network Classifiers. Implemented classifiers have been shown to perform well in a variety of artificial intelligence, machine learning, and data mining applications.

    标签: Classifiers Implemented Bayesian applying

    上传时间: 2015-09-11

    上传用户:ommshaggar