虫虫首页|资源下载|资源专辑|精品软件
登录|注册

rgb

rgb色彩模式是工业界的一种颜色标准,是通过对红(R)、绿(G)、蓝(B)三个颜色通道的变化以及它们相互之间的叠加来得到各式各样的颜色的,rgb即是代表红、绿、蓝三个通道的颜色,这个标准几乎包括了人类视力所能感知的所有颜色,是运用最广的颜色系统之一。
  • 视频采集与传输FPGA实现技术的研究

    FPGA 技术是图像处理领域的一个重要的研究课题,近年来倍受人们的关注。本文研究了视频信号的采集、显示以及通过网络进行传输的方法。并提出了一套基于FPGA 的实现方案。 系统可以分为采集控制模块、显示控制模块和网络传输控制模块3 部分。视频信号的采集用到了视频处理芯片SAA7113,通过FPGA 对其初始化,可以得到经过A/D 转换的YUV 格式视频信号,利用采集控制模块可以将这些视频信号保存到SRAM 中去。显示控制模块读出SRAM 中的视频信号,进行YUV 格式到rgb 格式的转换以及帧频变换等操作,再利用VGA 显示芯片THS8134 就可以将采集到的视频信号在LCD 上显示出来。基于IEEE802.3 协议的网络传输控制模块将YUV 格式的视频信号进行添加报头、CRC 校验码等操作后,将其变成一个MAC 帧,可以在以太网络中传输。 设计选用硬件描述语言Verilog HDL,在开发工具QuartusII 中完成软核的综合、布局布线、汇编,并最终在QuartusII 和Active-HDL 中进行时序仿真验证。 对设计的验证采取的是由里及外的方式,先对系统主模块的功能进行验证,再模拟外部器件对设计的接口进行验证。验证流程是功能仿真、时序仿真、板级调试,最终通过了系统测试,验证了该设计的功能。

    标签: FPGA 视频采集 传输 实现技术

    上传时间: 2013-07-20

    上传用户:baobao9437

  • 实时三维信息获取系统

    三维彩色信息获取系统目的是获取对象的三维空间坐标和颜色信息。它是计算机视觉研究的重要内容,也是当前信息科学研究中的一个重要热点。 本文首先介绍了三维信息获取技术的意义和实时可重构三维激光彩色信息获取系统总体方案。该方案合理划分了系统的图像处理任务,充分地利用了拥有的硬、软件资源。阐述了基于FPGA处理器的硬件系统结构及其工作原理和系统工作时序。 本文还研究了图像处理系统中的数字逻辑设计,总结出了较完整、规范化的设计流程和方法,介绍了从图像处理算法到可编程逻辑器件的规范化映射方法,总结了在视频系统中的高级设计技巧,包括并行流水线技术和循环结构的硬件实现方式等。 为了说明提出的设计方法,本文分析了基于自适应阈值的结构光条纹中心的方向模板快速检测算法的硬件实现。该算法是把自适应阈值法与可变方向模板法相结合,具有稳定性好、精度高、计算简单、数据存储量小、实现速度快的特点,此外,该方法有利于硬件快速实现。实践证明这种方法是实用的、有效的。 本文的重点在于研制了具有完全自主知识产权的实时可重构三维激光彩色信息获取系统中视频图像处理专用集成电路。该集成电路是实现系统快速算法的核心,使用现场可编程器FPGA器件EPlK50实现提取激光线、提取人头轮廓线和提取中心颜色线算法;该集成电路还要实现系统所需的控制逻辑。控制部分包括将视频采集输出端口信号转化为rgb真彩色信号的数据锁存模块、各FIFO缓存器的输入输出控制模块和系统需要的其它信号控制模块。提出提取轮廓线快速算法,即由FPGA处理器与主机交互式共同快速完成提取人头正侧影轮廓线算法。该专用集成电路研制是整个实时可重构三维激光彩色信息获取系统实现的关键。

    标签:

    上传时间: 2013-07-22

    上传用户:lguotao

  • 视频图像采集和预处理系统的FPGA实现

    本文研究的视频处理系统是上海市科委技术攻关基金项目“计算机视觉及其芯片化实现”的一部分,主要完成计算机视觉系统的一些基本工作,即视频图像的采集、预处理和显示等。 视频图像采集和预处理系统以Xilinx公司Virtex-ⅡPro系列的FPGA为核心控制器件,结合视频模数转换芯片和VGA显示器,完成视频图像的实时采集、预处理和显示。采集和显示部分作为同外界交流信息的渠道,是构成计算机视觉系统必不可少的一部分;图像预处理则是计算机视觉系统进行高层处理的基础,优秀的预处理算法能有效改善图像质量,提高系统分析判断的准确性。 本文在介绍基于FPGA的视频采集、预处理系统整体架构的基础上,围绕以下四个方面展开了工作: 1.研究并给出了两种基于FPGA的设计方案用于实现YCrCb色度空间到rgb色度空间的转换; 2.针对采集的视频图像,根据VGA显示的要求,给出了一种实现图像去隔行的方案; 3.分析了一系列图像滤波的预处理算法,如均值滤波、中值滤波和自适应滤波等,在比较和总结各算法特点的基础上,提出了一种新的适用于处理混合噪声的滤波算法:混合自适应滤波法; 4.根据算法特点设计了多种采用FPGA实现的图像滤波算法,并对硬件算法进行RTL级的功能仿真和验证,还给出了各种滤波算法的实验结果,在此基础上对各种算法的效果进行直观的比较。 文中,预处理算法的实现充分利用了FPGA的片内资源,体现了FPGA在图像处理方面的特点及优势。同时,视频采集和显示的控制模块也由同一FPGA芯片实现,从而简化了系统整体结构。视频采集和预处理系统在FPGA上的成功实现为“计算机视觉及其芯片化实现”奠定了必要的基础、提供了一定理论依据。

    标签: FPGA 视频图像 采集

    上传时间: 2013-04-24

    上传用户:我好难过

  • H264视频编码器帧内预测系统设计

    H.264视频编解码标准以其高压缩比、高图像质量、良好的网络适应性等优点在数字电视广播、网络视频流媒体传输、视频实时通信等许多方面得到了广泛应用。提高H.264帧内预测的速度,对于实时性要求较高的场合具有重大的意义。为此,论文在总结国内外相关研究的基础上,针对H.264帧内预测的软件实现具有运算量大、实时性差等缺点,提出了一种基于FPGA的高并行、多流水线结构的帧内预测算法的硬件实现。    论文在详细阐述H.264帧内预测编码技术的基础上,分析了17种预测模式算法,通过Matlab仿真建模,直观地给出了预测模式的预测效果,并在JM12.2官方验证平台上测试比较各种预测模式对编码性能的影响,以此为根据对帧内预测模式进行裁剪。接着论文提出了基于FPGA的帧内预测系统的设计方案,将前段采集剑的rgb图像通过色度转换模块转换成YCbCr图像,存入片外SDRAM中,控制模块负责读写数掘送入帧内预测模块进行处理。帧内预测模块中,采用一种并行结构的可配置处理单元,即先求和再移位最后限幅的电路结构,来计算各预测模式下的预测值,极大地减小了预测电路的复杂度。针对预测模式选择算法,论文采用多模式并行运算的方法,即多个结构相同的残差计算模块,同时计算各种预测模式对应的SATD值,充分发挥FPGA高速并行处理的能力。其中Hadamard变换使用行列分离的变换方法,采用蝶形快速变换、流水线设计提高硬件的工作效率。最后,论文设计了LCD显示模块直观地显示所得到的最佳预测模式。    整个帧内预测系统被划分成多个功能模块,采用层次化、模块化的设计思想,并采用流水线结构和乒乓操作来提高系统的并行性、运行速度和总线利用率。所有模块用Verilog语言设计,由Modelsim仿真和集成开发环境ISE9.1综合。仿真与综合结果表明,系统时钟频率最高达到106.7MHz。该设计在完成功能的基础上,能够较好地满足实时性要求。论文对于研究基于FPGA的H.264视频压缩编码系统进行了有益的探索,具有一定的实用价值。

    标签: H264 视频编码器 帧内预测 系统设计

    上传时间: 2013-07-21

    上传用户:ABCD_ABCD

  • LCD 并口驱动IC SSD1963资料

    3.5以上LCD并口rgb驱动芯片SSD1963

    标签: 1963 LCD SSD 并口

    上传时间: 2013-05-31

    上传用户:hopy

  • LED驱动、面板显示、电源管理应用

    LED装饰驱动、流水灯、洗墙灯、流星灯、rgb七彩灯应用

    标签: LED 驱动 面板 电源管理

    上传时间: 2013-04-24

    上传用户:王者A

  • 屏幕取点工具.

    屏幕取点工具.可以看到鼠标所在位置的rgb像素..对于做LED显示很有帮助

    标签: 屏幕 取点

    上传时间: 2013-07-23

    上传用户:thuyenvinh

  • 基于Protel的数字钟双面电路板设计

    针对数字钟双面板设计较为复杂的问题,利用国内知名度最高、应用最广泛的电路辅助设计软件Protel dxp 2004进行了电路板设计,本文提供了设计的一些方法和技巧,快速、准确地完成数字钟双面电路板的设计,采用双面板设计,布线面积是同样大小的单面板面积的两倍,其布线可以在两面间互相交错,所以更节省空间。

    标签: Protel 数字 电路板设计

    上传时间: 2013-10-07

    上传用户:zjc0413

  • State Machine Coding Styles for Synthesis

      本文论述了状态机的verilog编码风格,以及不同编码风格的优缺点,Steve Golson's 1994 paper, "State Machine Design Techniques for Verilog and VHDL" [1], is agreat paper on state machine design using Verilog, VHDL and Synopsys tools. Steve's paper alsooffers in-depth background concerning the origin of specific state machine types.This paper, "State Machine Coding Styles for Synthesis," details additional insights into statemachine design including coding style approaches and a few additional tricks.

    标签: Synthesis Machine Coding Styles

    上传时间: 2013-10-15

    上传用户:dancnc

  • PLD Programming Using VHDL

    本文详细讨论了VHDL语句对PLD设计的影响和设计经验,经典文章,值得仔细阅读消化。,PLD Programming Using VHDL

    标签: Programming Using VHDL PLD

    上传时间: 2013-11-16

    上传用户:teddysha