虫虫首页|资源下载|资源专辑|精品软件
登录|注册

plusII

  • 文中介绍了QPSK调制解调的原理

    文中介绍了QPSK调制解调的原理,并基于FPGA实现了QPSK调制解调电路。MAX+plusII环境下的仿真结果表明了该设计的正确性。

    标签: QPSK 调制解调

    上传时间: 2013-08-07

    上传用户:digacha

  • GAL使用教程

    9.1  通用可编程逻辑器件GAL 9.2  ABEL-HDL硬件描述语言 9.3  开发软件ISP Synario操作简介 9.4 可编程逻辑器件CPLD/FPGA 9.5  MAX+plusII开发软件 逻辑器件,即可用来实现特定逻辑功能的电子器件。最基本的逻辑关系有“与”、“或”、“非”等。门电路等都是逻辑器件,如74LS08(2输入四与门)实现“与”逻辑,74LS32(2输入四或门)实现“或”逻辑,这是实现简单逻辑功能,还有很多电路实现复杂逻辑功能,如微处理器等,这类是定制器件。

    标签: GAL 使用教程

    上传时间: 2013-10-26

    上传用户:jiangshandz

  • 常系数的FIR滤波器VHDL设计文件

    常系数的FIR滤波器VHDL设计文件,在MUX+plusII调试通过

    标签: VHDL FIR 系数 滤波器

    上传时间: 2013-12-26

    上传用户:xfbs821

  • 电梯控制的VHDL程序及其仿真

    电梯控制的VHDL程序及其仿真,用的是MAX+plusII,要下的顶

    标签: VHDL 电梯控制 仿真 程序

    上传时间: 2013-12-22

    上传用户:咔乐坞

  • 电子时钟的实现和仿真

    电子时钟的实现和仿真,在MAX+plusII下实现,编译通过

    标签: 电子时钟 仿真

    上传时间: 2013-12-17

    上传用户:894898248

  • 一个小程序

    一个小程序,用Veilog HDL编写的,可以用于篮球比赛的倒计时牌,已在max-plusII上仿真通过。

    标签: 程序

    上传时间: 2015-08-15

    上传用户:许小华

  • 此为秒表程序

    此为秒表程序,具有秒表的一般基本功能,已在MAX+plusII 10.2下编译通过。

    标签: 程序

    上传时间: 2013-12-22

    上传用户:woshini123456

  • 四位全加器

    四位全加器,VHDL语言,max+plusII平台做的

    标签: 全加器

    上传时间: 2016-02-17

    上传用户:xz85592677

  • VHDL是Very High Speed Integrated Circuit Hardware Description Language的缩写

    VHDL是Very High Speed Integrated Circuit Hardware Description Language的缩写, 意思是超高速集成电路硬件描述语言。对于复杂的数字系统的设计,它有独特的作用。它的硬件描述能力强,能轻易的描述出硬件的结构和功能。这种语言的应用至少意味着两种重大的改变:电路的设计竟然可以通过文字描述的方式完成;电子电路可以当作文件一样来存储。随着现代技术的发展,这种语言的效益与作用日益明显,每年均能够以超过30%的速度快速成长。 这次毕业设计的内容是在简要介绍了VHDL语言的一些基本语法和概念后,进一步应用VHDL,在MAX+plusII 的环境下设计一个电子钟,最后通过仿真出时序图实现预定功能。电子钟的时间显示用到了七段数码管(或称七段显示器)的电路设计,内部的时间控制输出则用到了各种设计,包括:加法计数器,扫描电路,控制秒、分、时的分频电路,各种数制的转换。

    标签: Description Integrated Hardware Language

    上传时间: 2016-03-07

    上传用户:hwl453472107

  • 《VDHL硬件描述语言与数字逻辑》 ——————电子工程师必备知识 西安电子科技大学出版社出版 第一章 数字系统硬件设计概述 第二章 VHDL语言程序的基本结构 第三章 VHDL语言的数据类

    《VDHL硬件描述语言与数字逻辑》 ——————电子工程师必备知识 西安电子科技大学出版社出版 第一章 数字系统硬件设计概述 第二章 VHDL语言程序的基本结构 第三章 VHDL语言的数据类型及运算操作符 第四章 VHDL语言构造体的描述方式 第五章 VHDL语言的主要描述语言 第六章 数值系统的状态模型 第七章 基本逻辑电路设计 第八章 仿真与逻辑综合 第九章 计时电路设计实例 第十章 微处理器接口芯片设计实例 第十一章 93版和87版VHDL语言的主要区别 第十二章 MAX+plusII使用说明

    标签: VHDL VDHL 硬件描述语言 数字逻辑

    上传时间: 2013-12-30

    上传用户:皇族传媒