虫虫首页|资源下载|资源专辑|精品软件
登录|注册

fpga 信号发生器

  • 基于FPGA的扩频信号发生器

    本文以直接频率合成和伪随机码的设计与实现为中心,对扩频通信的基本理论、信号源的总体结构、载波调制、滤波器设计等问题进行了深入的分析和研究,并给出了模块的硬件实现方案。 首先介绍了FPGA技术的发展和应用,包括VHDL语言的基本语法结构和FPGA器件的开发设计流程等等。详细地分析了各类频率合成器的基础上提出采用直接数字式频率合成器(DDS)实现低相位噪声、高分辨率、高精度和高稳定度的信号源。研究了测距伪随机码的原理,确定选用移位序列作为系统的扩频码序列,并选取了符合本系统使用的移位序列扩频码。分别给出并分析了相应的FPGA硬件实现电路。 对于载波调制这一关键技术,提出了采用二进制相移键控相位选择法并相应作了硬件实现。分析与研究了射频宽带滤波器应具有的传输特性,通过分析巴特沃思滤波器、切比雪夫滤波器、椭圆滤波器和贝塞尔滤波器这几种滤波器的频谱特性,设计了发生器射频宽带滤波器。最后给出具体设计实现了的信号发生器的输出波形。

    标签: FPGA 扩频信号 发生器

    上传时间: 2013-04-24

    上传用户:greethzhang

  • 基于FPGA的数字视频信号发生器

    随着数字技术的高速发展,越来越多的针对数字视频压缩、传送、显示等的设备涌入市场。要从这些良莠不齐的产品中挑选出令人满意的商品,一套良好的数字视频测试设备就必不可少。然而,现阶段大多数数字视频信号源都存在不同的缺点,如测试图像种类太少、没有动态测试源、缺乏专用测试信号等。为有效克服这些缺陷,作者设计并开发了一套基于FPGA的数字视频信号发生器。整个系统包括硬件平台和图像格式转换软件两大部分。硬件平台本身即为独立的信号发生器,可以生成多种测试图像。配备了图像格式转换软件,就可以实现硬件平台从PC机接收各种静态测试图像、动态测试序列,不断更新测试图像库。整个系统具有良好的硬件体系结构、便捷的输入接口,稳定的信号输出,同时操作灵活、方便,易于升级更新。 在系统的开发过程中,使用了多种硬件、软件开发工具,如PROTEL DXP、ISE、MODEL SIM、MATLAB、C#.NET等。由于软硬件调试均由同一人完成,因此整个系统具备良好的统一性和兼容性。 另外,作者还研究并设计了一种针对H.264编解码器压缩损伤的测试信号。评估一个编码器的性能可采用主观评价或客观评价两种方法。其中主观评价最为直接、有效。本文在依托主观评价方法的基础上,结合客观参数的指导性,研究并设计一种通过人眼就可以方便的观测到实际存在的压缩损伤的测试信号,以达到直接对编解码器性能进行比较的目的。

    标签: FPGA 数字视频 信号发生器

    上传时间: 2013-07-19

    上传用户:cxl274287265

  • 基于FPGA的数字信号发生器

    数字信号发生器是数字信号处理中不可缺少的调试设备。在某工程项目中,为了提供特殊信号,比如雷达信号,就需要设计专用的数字信号发生器,用以达到发送雷达信号的要求。在本文中提出了使用PCI接口的专用数字信号发生器方案。 该方案的目标是能够采录雷达信号,把信号发送到主机作为信号文件存储起来,然后对这个信号文件进行航迹分离,得到需要的航迹信号文件。同时,信号发生器具有发送信号的功能,可以把不同形式的信号文件发送到检测端口,用于设备调试。 在本文中系统设计主要分为硬件和软件两个方面来介绍: 硬件部分采用了FPGA逻辑设计加上外围电路来实现的。在硬件设计中,最主要的是FPGA逻辑设计,包括9路主从SPI接口信号的逻辑控制,片外SDRAM的逻辑控制,PCI9054的逻辑控制,以及这些逻辑模块间信号的同步、发送和接收。在这个过程中信号的方向是双向的,所选用的芯片都具有双向数据的功能。 在本文中软件部分包括驱动软件和应用软件。驱动软件采用PLXSDK驱动开发,通过控制PCI总线完成数据的采录和发送。应用软件中包括数据提取和数据发送,采用卡尔曼滤波器等方法。 通过实验证明该方案完全满足数据传输的要求,达到SPI传输的速度要求,能够完成航迹提取,以及数据传输。

    标签: FPGA 数字信号发生器

    上传时间: 2013-07-03

    上传用户:xzt

  • 基于FPGA和虚拟仪器的DDS信号发生器设计

    将虚拟仪器技术同FPGA技术结合,设计了一个频率可控的DDS任意波形信号发生器。在阐述直接数字频率合成技术的工作原理、电路构成的基础上,分别介绍了上位机虚拟仪器监控面板的功能和结构,以及实现DDS功能的下位机FPGA器件各模块化电路的作用。经过设计和电路测试,输出波形达到了技术要求,工作稳定可靠。

    标签: FPGA DDS 虚拟仪器 信号发生器

    上传时间: 2013-10-28

    上传用户:sglccwk

  • 基于FPGA的DDS波形信号发生器的设计

    设计采用Altera公司CycloneII系列EP2C5Q208作为核心器件,采用直接数字频率合成技术实现了一个频率、相位可控的基本信号发生器。该信号发生器可以产生正弦波、方波、三角波和锯齿波四种波形。仿真及硬件验证的结果表明,该信号发生器精度高,抗干扰性好,此设计方案具有一定的实用性。

    标签: FPGA DDS 波形 信号发生器

    上传时间: 2013-11-10

    上传用户:农药锋6

  • 基于FPGA和51单片机信号发生器设计

    为了降低传统函数信号发生器成本,改善函数信号发生器低频稳定性,本文结合FPGA和51单片机设计并实现了产生以0.596Hz频率精度各种函数信号。函数信号频率、波形、幅度由51单片机控制,并用LCD显示函数信号相关信息。本文设计的信号发生器易维护、可以软件升级,从而得到更高频率精度的函数信号满足不同场合设计的需要。

    标签: FPGA 51单片机 信号发生器

    上传时间: 2013-12-08

    上传用户:long14578

  • 基于FPGA和DDS技术的正弦信号发生器设计

    基于FPGA和DDS技术的正弦信号发生器设计

    标签: FPGA DDS 正弦信号发生器

    上传时间: 2013-10-22

    上传用户:cjf0304

  • 2006altera大赛-基于软核Nios的宽谱正弦信号发生器设计:摘要:本设计运用了基于 Nios II 嵌入式处理器的 SOPC 技术。系统以 ALTERA公司的 Cyclone 系列 FPGA

    2006altera大赛-基于软核Nios的宽谱正弦信号发生器设计:摘要:本设计运用了基于 Nios II 嵌入式处理器的 SOPC 技术。系统以 ALTERA公司的 Cyclone 系列 FPGA 为数字平台,将微处理器、总线、数字频率合成器、存储器和 I/O 接口等硬件设备集中在一片 FPGA 上,利用直接数字频率合成技术、数字调制技术实现所要求波形的产生,用 FPGA 中的 ROM 储存 DDS 所需的波形表,充分利用片上资源,提高了系统的精确度、稳定性和抗干扰性能。使用新的数字信号处理(DSP)技术,通过在 Nios 中软件编程解决 不同的调制方式的实现和选择。系统频率实现 1Hz~20MHz 可调,步进达到了1Hz;完成了调幅、调频、二进制 PSK、二进制 ASK、二进制 FSK 调制和扫频输出的功能。

    标签: Nios Cyclone altera ALTERA

    上传时间: 2015-09-01

    上传用户:coeus

  • 基于fpga和sopc的用VHDL语言编写的EDA正弦信号发生器

    基于fpga和sopc的用VHDL语言编写的EDA正弦信号发生器

    标签: fpga VHDL sopc EDA

    上传时间: 2014-12-02

    上传用户:lxm

  • 基于fpga和sopc的用VHDL语言编写的EDA的DDS信号发生器

    基于fpga和sopc的用VHDL语言编写的EDA的DDS信号发生器

    标签: fpga VHDL sopc EDA

    上传时间: 2013-12-25

    上传用户:semi1981