虫虫首页|资源下载|资源专辑|精品软件
登录|注册

enable

  • FPGA读写SD卡读取BMP图片通过LCD显示例程实验 Verilog逻辑源码Quartus工程文件

    FPGA读写SD卡读取BMP图片通过LCD显示例程实验 Verilog逻辑源码Quartus工程文件+文档说明,FPGA型号Cyclone4E系列中的EP4CE6F17C8,Quartus版本17.1。1 实验简介在前面的实验中我们练习了 SD 卡读写,VGA 视频显示等例程,本实验将 SD 卡里的 BMP 图片读出,写入到外部存储器,再通过 VGA、LCD 等显示。本实验如果通过液晶屏显示,需要有液晶屏模块。2 实验原理在前面的实验中我们在 VGA、LCD 上显示的是彩条,是 FPGA 内部产生的数据,本实验将彩条替换为 SD 内的 BMP 图片数据,但是 SD 卡读取速度远远不能满足显示速度的要求,只能先写入外部高速 RAM,再读出后给视频时序模块显示module top( input                       clk, input                       rst_n, input                       key1, output [5:0]                seg_sel, output [7:0]                seg_data, output                      vga_out_hs,        //vga horizontal synchronization output                      vga_out_vs,        //vga vertical synchronization output[4:0]                 vga_out_r,         //vga red output[5:0]                 vga_out_g,         //vga green output[4:0]                 vga_out_b,         //vga blue output                      sd_ncs,            //SD card chip select (SPI mode) output                      sd_dclk,           //SD card clock output                      sd_mosi,           //SD card controller data output input                       sd_miso,           //SD card controller data input output                      sdram_clk,         //sdram clock output                      sdram_cke,         //sdram clock enable output                      sdram_cs_n,        //sdram chip select output                      sdram_we_n,        //sdram write enable output                      sdram_cas_n,       //sdram column address strobe output                      sdram_ras_n,       //sdram row address strobe output[1:0]                 sdram_dqm,         //sdram data enable output[1:0]                 sdram_ba,          //sdram bank address output[12:0]                sdram_addr,        //sdram address inout[15:0]                 sdram_dq           //sdram data);parameter MEM_DATA_BITS         = 16  ;            //external memory user interface data widthparameter ADDR_BITS             = 24  

    标签: fpga

    上传时间: 2021-10-27

    上传用户:ibeikeleilei

  • FPGA读取OV5640摄像头数据并通过VGA或LCD屏显示输出的Verilog逻辑源码Quartu

    FPGA读取OV5640摄像头数据并通过VGA或LCD屏显示输出的Verilog逻辑源码Quartus工程文件+文档说明,FPGA型号Cyclone4E系列中的EP4CE6F17C8,Quartus版本17.1。module top( input                       clk, input                       rst_n, output                      cmos_scl,          //cmos i2c clock inout                       cmos_sda,          //cmos i2c data input                       cmos_vsync,        //cmos vsync input                       cmos_href,         //cmos hsync refrence,data valid input                       cmos_pclk,         //cmos pxiel clock output                      cmos_xclk,         //cmos externl clock input   [7:0]               cmos_db,           //cmos data output                      cmos_rst_n,        //cmos reset output                      cmos_pwdn,         //cmos power down output                      vga_out_hs,        //vga horizontal synchronization output                      vga_out_vs,        //vga vertical synchronization output[4:0]                 vga_out_r,         //vga red output[5:0]                 vga_out_g,         //vga green output[4:0]                 vga_out_b,         //vga blue output                      sdram_clk,         //sdram clock output                      sdram_cke,         //sdram clock enable output                      sdram_cs_n,        //sdram chip select output                      sdram_we_n,        //sdram write enable output                      sdram_cas_n,       //sdram column address strobe output                      sdram_ras_n,       //sdram row address strobe output[1:0]                 sdram_dqm,         //sdram data enable output[1:0]                 sdram_ba,          //sdram bank address output[12:0]                sdram_addr,        //sdram address inout[15:0]                 sdram_dq           //sdram data);

    标签: fpga ov5640 摄像头

    上传时间: 2021-12-18

    上传用户:yiyewumian

  • 基于FPGA设计的sdram读写测试实验Verilog逻辑源码Quartus工程文件+文档说明 DR

    基于FPGA设计的sdram读写测试实验Verilog逻辑源码Quartus工程文件+文档说明,DRAM选用海力士公司的 HY57V2562 型号,容量为的 256Mbit,采用了 54 引脚的TSOP 封装, 数据宽度都为 16 位, 工作电压为 3.3V,并丏采用同步接口方式所有的信号都是时钟信号。FPGA型号Cyclone4E系列中的EP4CE6F17C8,Quartus版本17.1。timescale 1ps/1psmodule top(input                        clk,input                        rst_n,output[1:0]                  led,output                       sdram_clk,     //sdram clockoutput                       sdram_cke,     //sdram clock enableoutput                       sdram_cs_n,    //sdram chip selectoutput                       sdram_we_n,    //sdram write enableoutput                       sdram_cas_n,   //sdram column address strobeoutput                       sdram_ras_n,   //sdram row address strobeoutput[1:0]                  sdram_dqm,     //sdram data enable output[1:0]                  sdram_ba,      //sdram bank addressoutput[12:0]                 sdram_addr,    //sdram addressinout[15:0]                  sdram_dq       //sdram data);parameter MEM_DATA_BITS          = 16  ;        //external memory user interface data widthparameter ADDR_BITS              = 24  ;        //external memory user interface address widthparameter BUSRT_BITS             = 10  ;        //external memory user interface burst widthparameter BURST_SIZE             = 128 ;        //burst sizewire                             wr_burst_data_req;       // from external memory controller,write data request ,before data 1 clockwire                             wr_burst_finish;         // from external memory controller,burst write finish

    标签: fpga sdram verilog quartus

    上传时间: 2021-12-18

    上传用户:lostxc

  • verilog实现I2C通信的slave模块源码状态机设位计可做I2C接口的仿真模型

    verilog实现I2C通信的slave模块源码状态机设位计可做I2C接口的仿真模型//`timescale 1ns/1psmodule I2C_slv (input [6:0] slv_id,input       RESET,input       scl_i,      //I2C clkinput       sda_i,      //I2C data ininput [7:0] I2C_RDDATA,////////////////////////output reg       sda_o,     //I2C data outoutput reg       reg_w,     //reg write enable pulse (1T of scl_i)output reg [7:0] I2C_ADDR,output reg [7:0] I2C_DATA);  parameter ST_ADDR    = 4'd0;  parameter ST_ACK     = 4'd1;  parameter ST_WDATA1  = 4'd2;  parameter ST_WACK1   = 4'd3;  parameter ST_WDATA2  = 4'd4;  parameter ST_WACK2   = 4'd5;  parameter ST_WDATA3  = 4'd6;  parameter ST_WACK3   = 4'd7;  parameter ST_RDATA1  = 4'd8;  parameter ST_RACK1   = 4'd9;  parameter ST_IDLE    = 4'd15;//---------------------------------------------------------------------------// Signal Declaration//---------------------------------------------------------------------------  reg        i2c_start_n, i2c_stop_n;  //wire       RESET_scl;  wire       i2c_stp_n, i2c_RESET;  reg [3:0]  i2c_cs, i2c_ns;  reg [3:0]  cnt_bit;  reg [7:0]  d_vec;  reg        i2c_rd, i2c_ack;  reg [7:0]  I2C_RDDATA_latch;

    标签: verilog i2c 通信 slave

    上传时间: 2022-02-03

    上传用户:zhengtiantong

  • PW1555-2.0.pdf规格书

    PW1555 is a programmable current limit switch with input voltage range selection and outputvoltage clamping. Extremely low RDS(ON) of the integrated protection N-channel FET helps toreduce power loss during the normal operation. Programmable soft-start time controls the slew rateof the output voltage during the start-up time. Independent enable control allows the complicatedsystem sequencing control. It integrates the over-temperature protection shutdown andautorecovery with hystersis

    标签: pw1555

    上传时间: 2022-02-14

    上传用户:pagedown

  • 传感器资料scb10h

    SCB10H series pressure elements are high performance absolute pressure sensors. The sensors are based  on Murata's proven capacitive 3D-MEMS technology. They enable exceptional possibility for OEM customers  to integrate pressure measurement function in an optimal way into their products. SCB10H series elements  can be designed to match the application specific pressure range. It is a bare capacitive sensor element that  enables optimized application specific package and electronics design.

    标签: 传感器

    上传时间: 2022-03-02

    上传用户:nicholas28

  • ST7789V IC规格书

     Single chip TFT-LCD Controller/Driver with On-chip Frame Memory (FM) Display Resolution: 240*RGB (H) *320(V) Frame Memory Size: 240 x 320 x 18-bit = 1,382,400 bits LCD Driver Output Circuits- Source Outputs: 240 RGB Channels- Gate Outputs: 320 Channels- Common Electrode Output Display Colors (Color Mode)- Full Color: 262K, RGB=(666) max., Idle Mode Off- Color Reduce: 8-color, RGB=(111), Idle Mode On Programmable Pixel Color Format (Color Depth) for Various Display Data input Format- 12-bit/pixel: RGB=(444)- 16-bit/pixel: RGB=(565)- 18-bit/pixel: RGB=(666) MCU Interface- Parallel 8080-series MCU Interface (8-bit, 9-bit, 16-bit & 18-bit)- 6/16/18 RGB Interface(VSYNC, HSYNC, DOTCLK, enable, DB[17:0])- Serial Peripheral Interface(SPI Interface)- VSYNC Interface

    标签: st7789v LCD

    上传时间: 2022-03-04

    上传用户:wwa875

  • Linux内核裁剪与编译

    学习本章要达到的目标:口1.理解 Linux内核编译选项的含义口2.学会根据不同的硬件配置裁减定制内核;口3.理解内核编译过程中每一步的功能,并编译 Linux2.630内核。需要去重新编译 Linux内核口增加操作系统对新的硬件设备的支持口增加内核新的功能口对内核代码或内核配置进行优化时Prompt for development and/or incomplete code/drivers口显示处于开发调试中或尚未完善的代码或驱口选中:如果是测试人员或者开发者;口不选:其他情况Local version append to kerne release口追加本地版本号口使用命令“uname-a”进行查看。POSIX Message Queues口 POSIX消息队列的支持,这是 POSIX进程间通信的一部分BSD Process Accounting口将进程的统计信息写入文件口信息通常包括建立时间、所有者、命令名称、内存使用、控制终端等,这个选项一般是选择的。enable loadable module support口使能可加载模块支持口如果使能此选项则可以通过"makemodules_insta"把内核模块安装在/lib/modules/中Kernel support for ELF binaries口内核对ELF文件格式的支持口ELF是开放平台下最常用的二进制文件格式支持动态连接,支持不同的硬件平台Kernel support for a out and ECOFF binaries口早期UNIX系统的可执行文件格式,目前已经被ELF格式取代Unix domain sockets口本机高效率的 Socket口仅能运行在本机上的高效率的 Socket,简称 Unix socket。口很多进程使用为这种机制在操作系统内部进行进程间通信,例如 X Window和 syslog等TCP/IP networking口TcP/IP网络协议的支持

    标签: linux

    上传时间: 2022-03-29

    上传用户:kingwide

  • STM32F103开发板 DHT11温湿度DS18B20 气体MQ-2光敏声控雨滴传感器实验程序

    STM32F103开发板 DHT11温湿度DS18B20 气体MQ-2光敏声控雨滴传感器实验程序**--------------------------------------------------------------------------------------------------------** Created by: FiYu** Created date: 2015-12-12** Version:     1.0** Descriptions: DHT11温湿度传感器实验 **--------------------------------------------------------------------------------------------------------** Modified by: FiYu** Modified date: ** Version: ** Descriptions: ** Rechecked by: **********************************************************************************************************/#include "stm32f10x.h"#include "delay.h"#include "dht11.h"#include "usart.h"DHT11_Data_TypeDef DHT11_Data;/************************************************************************************** * 描  述 : GPIO/USART1初始化配置 * 入  参 : 无 * 返回值 : 无 **************************************************************************************/void GPIO_Configuration(void){ GPIO_InitTypeDef GPIO_InitStructure; /* enable the GPIO_LED Clock */ RCC_APB2PeriphClockCmd( RCC_APB2Periph_GPIOA | RCC_APB2Periph_GPIOB | RCC_APB2Periph_AFIO , enable);     GPIO_DeInit(GPIOB); //将外设GPIOA寄存器重设为缺省值 GPIO_InitStructure.GPIO_Pin = GPIO_Pin_9; GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz; GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_PP;    //推挽输出 GPIO_Init(GPIOB, &GPIO_InitStructure); GPIO_DeInit(GPIOA); //将外设GPIOA寄存器重设为缺省值 GPIO_InitStructure.GPIO_Pin = GPIO_Pin_9; GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz; GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_PP;    //推挽输出 GPIO_Init(GPIOA, &GPIO_InitStructure); GPIO_InitStructure.GPIO_Pin = GPIO_Pin_10; GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IN_FLOATING;    //浮空输入 GPIO_Init(GPIOA, &GPIO_InitStructure); GPIO_SetBits(GPIOB , GPIO_Pin_9);   //初始状态,熄灭指示灯LED1}/************************************************************************************** * 描  述 : 串口显示实时温湿度 * 入  参 : 无 * 返回值 : 无 **************************************************************************************/void DHT11_SCAN(void){ if( Read_DHT11(&DHT11_Data)==SUCCESS) { printf("\r\n读取DHT11成功!\r\n\r\n湿度为%d.%d %RH ,温度为 %d.%d℃ \r\n",\ DHT11_Data.humi_int,DHT11_Data.humi_deci,DHT11_Data.temp_int,DHT11_Data.temp_deci); //printf("\r\n 湿度:%d,温度:%d \r\n" ,DHT11_Data.humi_int,DHT11_Data.temp_int); } else { printf("Read DHT11 ERROR!\r\n"); }}/************************************************************************************** * 描  述 : MAIN函数 * 入  参 : 无 * 返回值 : 无 **************************************************************************************/int main(void){ SystemInit(); //设置系统时钟72MHZ GPIO_Configuration(); USART1_Init();    //初始化配置TIM DHT11_GPIO_Config();   // 初始化温湿度传感器PB1引脚初始时为推挽输出 GPIO_ResetBits(GPIOB , GPIO_Pin_9); delay_ms(500);   while(1)  { GPIO_SetBits(GPIOB , GPIO_Pin_9);    DHT11_SCAN();  //实时显示温湿度 delay_ms(1500); } }

    标签: stm32f103 传感器

    上传时间: 2022-05-02

    上传用户:得之我幸78

  • 杰华特JW7805 datasheet

    The JW® 7805 is a low noise low-dropout (LDO)  voltage regulator with enable function that  operates from 1.8V to 5.5V. It provides up to  300mA of output current and offers low-power  operation in miniaturized packaging. JW7805  supports fixed output voltage 0.9V, 1.0V, 1.05V,  1.1V,1.2V, 1.3V, 1.35V, 1.5V, 1.8V, 1.85V, 2.1V,  2.2V, 2.3V, 2.5V, 2.6V, 2.7V, 2.8V, 2.85V, 2.9V,  3.0V,3.1V, 3.3V, 3.6V, 4.2V, 4.4V and 5.0V.  The features of low quiescent current as low as  6uA and almost zero disable current are ideal for  powering the battery equipment. JW7805’s low  output noise and high PSRR are also friendly to  RF systems.

    标签: JW7805

    上传时间: 2022-05-05

    上传用户:slq1234567890