虫虫首页|资源下载|资源专辑|精品软件
登录|注册

STBC-OFDM

  • OFDM系统中信道编码的FPGA实现及降低峰均比的研究

    低压电力线通信(PLC)具有网络分布广、无需重新布线和维护方便等优点。近年来,低压电力线通信被看成是解决信息高速公路“最后一英里”问题的一种方案,在国内外掀起了一个新的研究热潮。电力线信道中不仅存在多径干扰和子信道衰落,而且还存在开关噪声和窄带噪声,因此在电力线通信系统中,信道编码是不可或缺的重要组成部分。 本文着重研究了在FPGA上实现OFDM系统中的信道编解码方案。其中编码端由卷积码编码器和交织器组成,解码端由Viterbi译码器和解交织器组成,同时为了与PC机进行通信,还在FPGA上做了一个RS232串行接口模块,以上所有的模块均采用硬件描述语言VerilogHDL编写。另外,峰值平均功率比(PAR)较大是OFDM系统所面临的一个重要问题,必须要考虑如何降低大峰值功率信号出现的概率。本文重点研究了三种降低PAR的方法:即信号预畸变技术、信号非畸变技术和编码技术。这三种方法各有优缺点,但是迄今为止还没有一种好方法能够彻底地解决OFDM系统中较高PAR的弊病。本论文内容安排如下:第一章介绍了课题的背景,可编程器件和OFDM技术的发展历程。第二章详细介绍了OFDM的原理以及实现OFDM所采用的一些技术细节。第三章详细介绍了本课题中信道编码的方案,包括信道编码的基本原理,组成结构以及方案中采用的卷积码和交织的原理及设计。第四章详细讨论了编码方案如何在FPGA上实现,包括可编程逻辑器件FPGA/CPLD的结构特点,开发流程,以及串口通信接口、编解码器的FPGA设计。第五章详细介绍了如何降低OFDM系统中的峰值平均功率比。最后,在第六章总结全文,并对课题中需要进一步完善的方面进行了探讨。

    标签: OFDM FPGA 信道编码

    上传时间: 2013-04-24

    上传用户:520

  • 基于OFDM的PLC通信系统同步模块的FPGA实现

    电力线通信技术利用分布广泛的低压电力线作为通信信道,实现internet高速互连,为用户提供互联网访问、视频点播等服务,形成包括电力在内的“四网合一”,目前正受到人们的关注。利用该技术,可以在居民区内建立宽带接入网,也可以利用遍布家庭各个房间的电源插座组成家庭局域网。但是电力线是传输电能的,因此通过电力线传输数据有许多的问题需要解决。 OFDM(正交频分复用)技术是实现电力线通信的一项热门技术。OFDM采用添加循环前缀的技术,能有效地降低ICI(信道间干扰)和ISI(码间干扰)。同时通过使用正交的子信道,大大提高了频谱资源利用率。FPGA作为可编程逻辑器件,具有设计时间短、投资少、风险小的特点,而且可以反复修改,反复编程,直到完全满足需要,具有其他方式无可比拟的方便性和灵活性,能够加速数字系统的研发速度。本文着重研究了OFDM同步技术在FPGA上的实现。本论文主要是在项目组工作的基础上构造双路信号数据纠正算法流程,提出最佳采样点与载波相位估计算法,完善中各个子模块算法的硬件设计流程。内容安排如下:第一章介绍OFDM(正交频分复用)技术的发展历史、技术原理。第二章介绍了PLD的分类、工艺和结构特点,以及FPGA的开发环境、开发流程和Verilog语言的特点。第三章对OFDM系统的同步模块进行详细的阐述。第四章是OFDM同步算法的在FPGA上的实现,对各个子模块进行仿真,给出了仿真波形图和系统性能分析。最后,第五章总结了全文的工作,对OFDM技术的实现需要进一步完善的方面与后续工作进行了探讨。

    标签: OFDM FPGA PLC 通信系统

    上传时间: 2013-04-24

    上传用户:hgy9473

  • OFDM系统基于子空间的盲信道估计源代码

    本代码实现了OFDM系统的子空间盲信道估计,实现了ber性能

    标签: OFDM 子空间 盲信道

    上传时间: 2013-04-24

    上传用户:gzming

  • 基于ARMDSP的OFDM水下图像传输系统的研究与实现

    正交频分复用(Orthogonal Frequency Division Multiplexing,OFDM)技术是一种多载波传输技术,它的基本思想是在频域内将给定信道划分成几个相互正交的子信道,每个子信道使用一个子载波进行调制,各子载波并行传输。该技术可以有效提高频谱利用率,能够对抗多径效应产生的频率选择性衰弱和载波间干扰,在时变、频变、多径干扰严重的水声信道中具有较强的优势。 随着计算机和多媒体通信技术的发展,嵌入式系统在各个领域的应用不断深入。其中,基于ARM技术知识产权(IP)核的微处理器依靠其高性能、低功耗和易扩展的特点,在工业控制、无线通信、消费电子等多个领域得到广泛的应用;随着嵌入式系统复杂度的提高,操作系统已成为嵌入式系统不可缺少的一部分。其中,嵌入式Linux凭借免费开源、功能强大、成熟稳定等特点,目前已成为主要的嵌入式操作系统之一。 数字信号处理器(Digital Signal Processor,DSP)具有很强的数字信号处理能力,可以满足各种高实时要求,但其寻址范围小,I/O功能较差。ARM+DSP双处理器的结构可以充分利用ARM和DSP各自的优势实现协同工作。 本论文的主要工作是研究和实现一个基于OFDM技术的由ARM+DSP硬件平台实现的能够完成水下声信道图像传输的系统。主要研究内容包括OFDM系统的基本原理、ARM+DSP底层硬件的驱动和控制,Linux操作系统的移植、MiniGUI人机界面的设计、相关应用软件的编写以及在TMS320VC5502上初步实现OFDM的调制解调,以期对今后水下图像传输系统的实现能具有较大的参考价值。

    标签: ARMDSP OFDM 图像传输系统

    上传时间: 2013-05-20

    上传用户:Ruzzcoy

  • ofdm

    一个完整的OFDM仿真程序,采用QPSK调制,有信道估计,大家交流下!

    标签: ofdm

    上传时间: 2013-06-05

    上传用户:zq70996813

  • DVBT发射机系统中的OFDM调制FPGA实现

    该项目完成的是DVB-T发射机系统中OFDM调制部分的FPGA设计.DVB-T是ETSI(欧洲电信标准委员会)提出的数字地面电视广播系统标准,在业界影响很广.整个DVB-T发射机系统包括RS编码,内交织,卷积编码,外交织,星座映射,IFFT变换等主要部分.该项目组负责以FPGA为主体的硬件平台的搭建及编码,调制部分的FPGA软件设计,作者完成了2k模式下IFFT变换的软件设计.该文首先介绍了OFDM及DVB-T相关原理,然后比较分析了各种FFT算法及实现结构的复杂度,最后采取了一种Radix2

    标签: DVBT OFDM FPGA 发射机

    上传时间: 2013-05-16

    上传用户:gundamwzc

  • OFDM信道估计模块运算部件的FPGA设计

    正交频分复用(OnIlogonaJ Frequency Division Multiplexing,OFDM)技术通过将整个信道分为多个带宽相等并行传输的子信道,通过将信息经过子信道独立传输来实现通信,子信道的正交性可以保证最大限度的利用频谱资源。OFDM系统通过循环前缀来消除符号间干扰(ISI),通过IDFT/DFT调制解调降低了系统实现的复杂度。由于其频谱利用率高,抗多径能力强,在多种通信场合中都得到了应用。虽然有着上述优点,但为了准确的恢复信号,信道估计是OFDM系统中必须实现的一环。 本文正是针对OFDM接收机中的信道估计模块的运算部件的实现进行了研究。首先,研究了OFDM信道估计的LS算法,一阶线性插值算法,二次多项式插值算法,建立了适用于宽带通信系统的信道估计模块模型。其次研究了加法器电路和乘法器电路的实现,包括进位行波加法器,曼彻斯特进位链,超前进位加法器和乘法原理,阵列乘法器,wallace树乘法器及BOOTH编码算法,并分析了各种电路的特性及优缺点。接着研究了几种主要的除法器设计算法,包括数字循环算法,基于函数迭代的算法,以及CORDIC算法,结合信道估计的特点选择了函数迭代和CORDIC算法作为具体实现的方法。最后,在前面的设计的基础上在FPGA芯片上实现了前面的设计方案。

    标签: OFDM FPGA 信道估计 模块

    上传时间: 2013-06-05

    上传用户:yyyyyyyyyy

  • OFDM基带调制系统在FPGA上的实现

    本文着重研究了OFDM调制解调技术在FPGA上的实现。全文内容安排如下:  第一章介绍了PLD(可编程逻辑器件)和OFDM(正交频分复用)技术的发展历史。  第二章介绍了PLD的分类、工艺和结构特点,以及FPGA的开发环境、开发流程和Verilog语言的特点。  第三章就OFDM系统中的基本概念进行了详细的阐述。  第四、五章是OFDM算法的在FPGA上的实现,首先对要实现的算法进行分析,给出了需要实现的指标。然后给出了FPGA的实现方案,对系统的进行仿真,给出了仿真波形图和系统性能分析。  第六章总结了全文的工作,对OFDM技术的实现需要进一步完善的方面进行了探讨。

    标签: OFDM FPGA 基带 调制系统

    上传时间: 2013-08-05

    上传用户:跃跃,,

  • OFDM系统中信道均衡的技术研究及基于FPGA的实现

    最新的研究进展是OFDM的出现,并且在2000年出现了第一个采用此技术的无线标准(HYPERLAN-Ⅱ)。由于它与TDMA及CDMA相比能处理更高数据速率,因此可以预想在第四代系统中也将使用此技术。 宽带应用和高速率数据传输是OFDM调制/多址技术通信系统的重要特征之一。作者通过参与国家863计划项目“OFDM通信系统”一年以来的研发工作,对OFDM通信系统及相关技术有了深入的理解,积累了大量实际经验,并在相关工作中取得了部分研究成果。 另一方面,关于宽带自适应均衡技术的研究在近年来也引起了广泛的关注。它是补偿信道畸变的重要的技术之一。作者通过参与该项目FPGA部分的开发与调试工作,基于单片FPGA实现了均衡部分;此外,作者在频域自适应均衡算法方面也取得了一些理论成果。 本文的主体部分就是根据上述工作的内容展开的。 首先介绍了本课题相关技术的发展情况,主要包括:OFDM系统的技术原理、技术优势、历史和现状,均衡技术的特点和发展等。末尾叙述了本课题的来源和研究意义,并简介了作者的主要工作和贡献。确定将WSSUS分布和瑞利衰落作为本文研究的信道模型。主要分析了常用的时域均衡器,均是单载波非扩频数字调制中常用到的均衡器和均衡算法,为接下来的进一步研究作理论参考。 接着,论述了均衡必须用到的信道估计技术。重点就该方案的核心算法(频域均衡算法)进行了数学上进行了较深入的研究,建立系统模型,并据此推导了三种频域均衡的算法:频域消除HICI,Gauss-Seidel迭代算法,频域线性内插。采用WSSUS信道模型进行了计算机仿真,得出了采用这些均衡算法在不同条件下的性能曲线。并且系统地、有重点地对该方案的原理和实质进行了较深入的讨论。归纳比较了各种算法的算法复杂度和能达到的性能,并且结合信道纠错编解码进行了细致的分析。进一步尝试设计了无线局域网OFDM系统的设计,采用典型的欧洲Hyperlan2系统为例,把研究成果引入到实际的整个系统中来看。结合具体的系统指出了该均衡算法在抗衰落和相位偏移方面的应用。 最后,描述了利用Xilinx的xc2v3000-4FG676型号芯片针对OFDM系统实现频域自适应均衡的方法,主要给出了设计方法、时序仿真结果和处理速度估值等;并结合最新的FPGA发展动态和特点,对基于FPGA实现其他均衡算法的升级空间进行了讨论。 本文的结束语中,对作者在本文中所作贡献进行了总结,并指出了仍有待深入研究的几个问题。

    标签: OFDM FPGA 信道

    上传时间: 2013-04-24

    上传用户:ahljj

  • 基于IEEE80211a的OFDM传输系统的接收机算法研究与FPGA实现

    作为一项正在兴起的无线应用服务,无线局域网已在机场、校园、会议室、甚至在家庭都有所应用.它正叩开高速无线数据业务市场的大门.目前,无线局域网仍处于众多标准共存时期.每一标准的背后都有大公司或者大集团的支持.在众多无线局域网协议中IEEE802.11a协议是很有特色的一个,它的优势在于采用了正交频分复用(OFDM)方式来传输数据,该技术可帮助提高速度和改进信号质量,并可克服干扰,因此得到众多关注.为了让这种高速的局域网真正应用到实际中,我们的项目就是要在硬件上实现基于IEEE802.11a协议的OFDM系统的发射机和接收机,而本文的主要工作就是用FPGA实现这个系统的内接收机.内接收机主要包括同步估计和信道估计.但是目前OFDM系统中包括同步、信道编码、信道估计、用户检测、降低峰均比等一些关键技术在具体实现上还存在着一些困难.许多文献对这些关键技术基本停留在理论上的讨论,与具体的实现还存在很大的差距.因此本文通过研究同步和信道估计的多种算法的性能和其实现的复杂度,提出一种适合在IEEE802.11a协议环境下的同步算法和信道估计,用FPGA加以实现.首先本文总结了目前OFDM系统信道估计的算法.在此基础上详细的讨论了基于IEEE802.11a协议的OFDM系统可以采用的信道估计方法:(1)提出了借助训练序列的LS估计法和LS-average估计法,分别在AWGN信道和多径信道对这两种方法进行了比较,证明无论在哪种信道环境下后者性能都要好于前者.为了能够进一步提高信道估计器的性能,在LS-average算法的基础上提出了消噪算法(NRA).(2)提出了借助导频的DFT插值算法.其次本文总结了目前OFDM系统同步的算法.OFDM系统同步包括定时同步和载波同步,其中定时同步又分为符号同步和抽样同步.本文主要是研究定时同步,而载波同步只是简单的讨论,因为在这项目中这是另有负责人.本文针对基于IEEE802.11a协议的OFDM系统把定时同步分为粗定时同步和细定时同步.然后分别对粗定时同步和细定时同步进行了详细的讨论.其中对粗定时同步的方法有:利用短训练序列和利用循环前缀,并对这两种方法进行了比较.对细定时同步是利用导频来跟踪.最后根据前面两章提出的算法所分析的结果,以及突发OFDM系统的信号和信道特征,选取了其中一种信道估计算法和定时同步算法,结合合作伙伴所提出的载波同步算法一起用FPGA实现整个基于IEEE802.11a协议的OFDM系统的内接收机,并分别测试了各个模块的性能以及综合模块的性能.

    标签: 80211a 80211 IEEE FPGA

    上传时间: 2013-05-26

    上传用户:zhengzg