虫虫首页|资源下载|资源专辑|精品软件
登录|注册

Pin

  • 合成孔径激光雷达中微弱信号噪声分析与处理

    关于合成孔径激光雷达中微弱光电信号的检测技术,分析了Pin光电二极管的主要噪声来源,设计了偏置电路和滤波电路;鉴于高频效应的影响,合理使用电磁屏蔽等措施。

    标签: 合成孔径 激光雷达 微弱信号 噪声分析

    上传时间: 2014-12-30

    上传用户:thinode

  • LTC3207,LTC3207-1用户指南

      The LTC®3207/LTC3207-1 is a 600mA LED/Camera driverwhich illuminates 12 Universal LEDs (ULEDs) and onecamera fl ash LED. The ULEDs are considered universalbecause they may be individually turned on or off, setin general purpose output (GPO) mode, set to blink at aselected on-time and period, or gradate on and off at aselected gradation rate. This device also has an externalenable (ENU) Pin that may be used to blink, gradate, orturn on/off the LEDs without using the I2C bus. This may beuseful if the microprocessor is in sleep or standby mode. Ifused properly, these features may save valuable memoryspace, programming time, and reduce the I2C traffi c.

    标签: 3207 LTC 用户

    上传时间: 2014-01-04

    上传用户:LANCE

  • Cadence 应用注意事项

    Cadence 应用注意事项             1、 PCB 工艺规则             以下规则可能随中国国内加工工艺提高而变化             1.1. 不同元件间的焊盘间隙:大于等于 40mil(1mm),以保证各种批量在线焊板的需要。             1.2. 焊盘尺寸:粘锡部分的宽度保证大于等于 10mil(0.254mm),如果焊脚(Pin)较高,应             修剪;如果不能修剪的,相应焊盘应增大…..             1.3. 机械过孔最小孔径:大于等于 6mil(0.15mm)。小于此尺寸将使用激光打孔,为国内 ****************************************************************************************      各种化工 石油 电子 制造 机械 编程 纺织等等各类电脑软件, 欢迎咨询   ------------------------------------------------------------------------------------      联系QQ:1270846518       Email: gjtsoft@qq.com      即时咨询或留言:http://gjtsoft.53kf.com      电话: 18605590805    短信发送软件名称, 我们会第一时间为您回复 ****************************************************************************************             大多数 PCB厂家所不能接受。             

    标签: Cadence 注意事项

    上传时间: 2013-10-18

    上传用户:黄蛋的蛋黄

  • Allegro FPGA System Planner中文介绍

      完整性高的FPGA-PCB系统化协同设计工具   Cadence OrCAD and Allegro FPGA System Planner便可满足较复杂的设计及在设计初级产生最佳的I/O引脚规划,并可透过FSP做系统化的设计规划,同时整合logic、schematic、PCB同步规划单个或多个FPGA Pin的最佳化及layout placement,借由整合式的界面以减少重复在design及PCB Layout的测试及修正的过程及沟通时间,甚至透过最佳化的Pin mapPing、placement后可节省更多的走线空间或叠构。   Specifying Design Intent   在FSP整合工具内可直接由零件库选取要摆放的零件,而这些零件可直接使用PCB内的包装,预先让我们同步规划FPGA设计及在PCB的placement。  

    标签: Allegro Planner System FPGA

    上传时间: 2013-11-06

    上传用户:wwwe

  • MAX338/MAX339的英文数据手册

      本软件是关于MAX338, MAX339的英文数据手册:MAX338, MAX339   8通道/双4通道、低泄漏、CMOS模拟多路复用器   The MAX338/MAX339 are monolithic, CMOS analog multiplexers (muxes). The 8-channel MAX338 is designed to connect one of eight inputs to a common output by control of a 3-bit binary address. The dual, 4-channel MAX339 is designed to connect one of four inputs to a common output by control of a 2-bit binary address. Both devices can be used as either a mux or a demux. On-resistance is 400Ω max, and the devices conduct current equally well in both directions.   These muxes feature extremely low off leakages (less than 20pA at +25°C), and extremely low on-channel leakages (less than 50pA at +25°C). The new design offers guaranteed low charge injection (1.5pC typ) and electrostatic discharge (ESD) protection greater than 2000V, per method 3015.7. These improved muxes are Pin-compatible upgrades for the industry-standard DG508A and DG509A. For similar Maxim devices with lower leakage and charge injection but higher on-resistance, see the MAX328 and MAX329.

    标签: MAX 338 339 英文

    上传时间: 2013-11-12

    上传用户:18711024007

  • 基于(英蓓特)STM32V100的串口程序

    This example provides a description of how  to use the USART with hardware flowcontrol and communicate with the Hyperterminal.First, the USART2 sends the TxBuffer to the hyperterminal and still waiting fora string from the hyperterminal that you must enter which must end by '\r'character (keypad ENTER button). Each byte received is retransmitted to theHyperterminal. The string that you have entered is stored in the RxBuffer array. The receivebuffer have a RxBufferSize bytes as maximum. The USART2 is configured as follow:    - BaudRate = 115200 baud      - Word Length = 8 Bits    - One Stop Bit    - No parity    - Hardware flow control enabled (RTS and CTS signals)    - Receive and transmit enabled    - USART Clock disabled    - USART CPOL: Clock is active low    - USART CPHA: Data is captured on the second edge     - USART LastBit: The clock pulse of the last data bit is not output to                      the SCLK Pin

    标签: V100 STM 100 32V

    上传时间: 2013-10-31

    上传用户:yy_cn

  • 基于(英蓓特)STM32V100的看门狗程序

    This example shows how to update at regulate period the WWDG counter using theEarly Wakeup interrupt (EWI). The WWDG timeout is set to 262ms, refresh window set to 41h and the EWI isenabled. When the WWDG counter reaches 40h the EWI is generated and in the WWDGISR the counter is refreshed to prevent a WWDG reset and led connected to PC.07is toggled.The EXTI line9 is connected to PB.09 Pin and configured to generate an interrupton falling edge.In the NVIC, EXTI line9 to 5 interrupt vector is enabled with priority equal to 0and the WWDG interrupt vector is enabled with priority equal to 1 (EXTI IT > WWDG IT). The EXTI Line9 will be used to simulate a software failure: once the EXTI line9event occurs (by pressing Key push-button on EVAL board) the correspondent interruptis served, in the ISR the led connected to PC.07 is turned off and the EXTI line9pending bit is not cleared. So the CPU will execute indefinitely EXTI line9 ISR andthe WWDG ISR will never be entered(WWDG counter not updated). As result, when theWWDG counter falls to 3Fh the WWDG reset occurs.If the EXTI line9 event don抰 occurs the WWDG counter is indefinitely refreshed inthe WWDG ISR which prevent from WWDG reset. If the WWDG reset is generated, after resuming from reset a led connected to PC.06is turned on. In this example the system is clocked by the HSE(8MHz).

    标签: V100 STM 100 32V

    上传时间: 2013-11-10

    上传用户:gundamwzc

  • superpro 3000u 驱动及编程器软件下载

    superpro 3000u 驱动 PIC16C65B@QFP44 [SA245] PIC16C65B:          Part number QFP44:              Package in QFP44 SA245:              Adapter purchase number AM29DL320GT@FBGA48 [SA642+B026] AM29DL320GT:        Part number FBGA48:             Package in FBGA48 SA642:              Adapter purchase number (Top board with socket) B026:               Adapter purchase number (Bottom board, exchangable for different parts) 87C196CA@PLCC68(universal adapter) [PEP+S414T] 87C196CA:           Part number PLCC68:             Package in PLCC68 universal adapter:  this adapter is valid for all parts in this package PEP:                The PEP (Pin-driver Expansion Pack necessary to work with the adapter S414T) S414T:              Adapter purchase number (Universal for all parts in this package) S71PL127J80B@FBGA64(special adapter) [(SA642A-B079A-Y096AF001)] S71PL127J80B:            Part number FBGA64:                  Package in FBGA64 special adapter:         this adapter is valid for this

    标签: superpro 3000u 驱动 编程器软件

    上传时间: 2013-10-23

    上传用户:Avoid98

  • Allegro FPGA System Planner中文介绍

      完整性高的FPGA-PCB系统化协同设计工具   Cadence OrCAD and Allegro FPGA System Planner便可满足较复杂的设计及在设计初级产生最佳的I/O引脚规划,并可透过FSP做系统化的设计规划,同时整合logic、schematic、PCB同步规划单个或多个FPGA Pin的最佳化及layout placement,借由整合式的界面以减少重复在design及PCB Layout的测试及修正的过程及沟通时间,甚至透过最佳化的Pin mapPing、placement后可节省更多的走线空间或叠构。   Specifying Design Intent   在FSP整合工具内可直接由零件库选取要摆放的零件,而这些零件可直接使用PCB内的包装,预先让我们同步规划FPGA设计及在PCB的placement。  

    标签: Allegro Planner System FPGA

    上传时间: 2013-10-19

    上传用户:shaojie2080

  • PADS Layout把非中心对称封装的元件坐标导出所修改的Basic Scr

    有时候,做元件封装的时候,做得不是按中心设置为原点(不提倡这种做法),所以制成之后导出来的坐标图和直接提供给贴片厂的要求相差比较大。比如,以元件的某一个Pin 脚作为元件的原点,明显就有问题,直接修改封装的话,PCB又的重新调整。所以想到一个方法:把每个元件所有的管脚的X坐标和Y坐标分别求平均值,就为元件的中心。

    标签: Layout Basic PADS Scr

    上传时间: 2014-01-09

    上传用户:xzt