虫虫首页|资源下载|资源专辑|精品软件
登录|注册

Modelsim-Altera

  • Modelsim-Altera使用方法

    Modelsim-Altera使用方法 Modelsim-Altera使用方法

    标签: Modelsim-Altera

    上传时间: 2013-04-24

    上传用户:doudouzdz

  • Altera ModelSim 6.5仿真入门教程

        Altera ModelSim 6.5仿真入门教程,需要的可自行下载。   平台   软件:Modelsim-Altera 6.5e (Quartus II 10.0) Starter Edition   内容   1 设计流程   使用ModelSim仿真的基本流程为:         图1.1 使用 ModelSim仿真的基本流程   2 开始   2.1 新建工程   打开ModelSim后,其画面如图2.1所示。

    标签: ModelSim Altera 6.5 仿真

    上传时间: 2014-12-31

    上传用户:niumeng16

  • Altera ModelSim 6.5仿真入门教程

        Altera ModelSim 6.5仿真入门教程,需要的可自行下载。   平台   软件:Modelsim-Altera 6.5e (Quartus II 10.0) Starter Edition   内容   1 设计流程   使用ModelSim仿真的基本流程为:         图1.1 使用 ModelSim仿真的基本流程   2 开始   2.1 新建工程   打开ModelSim后,其画面如图2.1所示。

    标签: ModelSim Altera 6.5 仿真

    上传时间: 2013-11-09

    上传用户:qitiand

  • modelsim教程(中文)

    Modelsim仿真工具是Model公司开发的。它支持Verilog、VHDL以及他们的混合仿真,它可以将整个程序分步执行,使设计者直接看到他的程序下一步要执行的语句,而且在程序执行的任何步骤任何时刻都可以查看任意变量的当前值,可以在Dataflow窗口查看某一单元或模块的输入输出的连续变化等,比Quartus自带的仿真器功能强大的多,是目前业界最通用的仿真器之一。 ModelSim分几种不同的版本:SE、PE和OEM,其中 集成在 Actel、Atmel、Altera、Xilinx以及Lattice等FPGA厂商设计工具中的均是其OEM版本。比如为Altera提供的OEM版本是Modelsim-Altera,为Xilinx提供的版本为ModelSim XE. SE版本为最高级版本,在功能和性能方面比OEM版本强很多,比如仿真速度方面,还支持PC 、 UNIX 、 LIUNX混合平台.

    标签: modelsim 教程

    上传时间: 2013-06-25

    上传用户:2814413580

  • modelsim教程(中文)

    PPT文档,24页,图文结合 Modelsim仿真工具是Model公司开发的。它支持Verilog、VHDL以及他们的混合仿真,它可以将整个程序分步执行,使设计者直接看到他的程序下一步要执行的语句,而且在程序执行的任何步骤任何时刻都可以查看任意变量的当前值,可以在Dataflow窗口查看某一单元或模块的输入输出的连续变化等,比Quartus自带的仿真器功能强大的多,是目前业界最通用的仿真器之一。 ModelSim分几种不同的版本:SE、PE和OEM,其中 集成在 Actel、Atmel、Altera、Xilinx以及Lattice等FPGA厂商设计工具中的均是其OEM版本。比如为Altera提供的OEM版本是Modelsim-Altera,为Xilinx提供的版本为ModelSim XE. SE版本为最高级版本,在功能和性能方面比OEM版本强很多,比如仿真速度方面,还支持PC 、 UNIX 、 LIUNX混合平台.

    标签: modelsim 教程

    上传时间: 2013-05-25

    上传用户:zhangzhenyu

  • 骏龙提供的最新quartus8.0的license

    骏龙提供的最新quartus8.0的license,包括Quartus II 8.0,NIOS II 8.0(在Quartus II的license里面),DSP Builde 8.0,Modelsim-Altera 6.1g (Quartus II 8.0),新Quartus II的license支持远程桌面访问的功能。

    标签: quartus license 8.0

    上传时间: 2013-12-27

    上传用户:wcl168881111111

  • altera Quartus II modelSim 自動模擬搭配

    altera Quartus II modelSim 自動模擬搭配,內有範例。 (含電路)

    标签: modelSim Quartus altera II

    上传时间: 2016-02-13

    上传用户:lz4v4

  • 使用ModelSim对Altera设计进行功能仿真 对于没有使用到Altera的MegaWizard或LPM的设计而言

    使用ModelSim对Altera设计进行功能仿真 对于没有使用到Altera的MegaWizard或LPM的设计而言,功能仿真比较简单,读者只需依据8.2.5小节描述的步骤依次执行即可,对于使用了MegaWizard或LPM的设计,则必需在仿真时指定相关的Altera库

    标签: Altera MegaWizard ModelSim LPM

    上传时间: 2013-12-10

    上传用户:asdkin

  • ModelSim对Altera设计进行功能仿真的简单操作步骤

    ModelSim对Altera设计进行功能仿真的简单操作步骤

    标签: ModelSim Altera 功能仿真 操作

    上传时间: 2017-07-08

    上传用户:teddysha

  • modelsim 编译 altera仿真库

    modelsim 编译 altera仿真库

    标签: modelsim altera 编译 仿真库

    上传时间: 2016-04-25

    上传用户:xiaonan