虫虫首页|资源下载|资源专辑|精品软件
登录|注册

EVB-II

  • 基于VHDL的FPGA和Nios II实例精炼(刘福奇)

      基于VHDL的FPGA和Nios II 实例精炼【作者:刘福奇;出版社:北京航空航天大学出版社】(本书优酷视频地址:http://www.youku.com/playlist_show/id_5882081.html)   内容简介:本书分为4个部分:Quartus Ⅱ软件的基本操作、VHDL语法介绍、FPGA设计实例和Nios Ⅱ设计实例;总结了编者几年来的FPGA设计经验,力求给初学者或是想接触这方面知识的读者提供一种快速入门的方法;适合电子相关专业的大学生、FPGA的初学者以及对FPGA有兴趣的电子工程师。初学者可以按照步骤学习。本书中提及到时间计算问题,不光提出有时间戳的方法, 还介绍了一种通过读取定时器的寄存器来计算时间的方法。其实,有人认为,本书最好的部分是:DMA的实现说明(本书从3个方面讲述了DMA的使用)。现在学习Verilog HDL的人或许比较多,但是用VHDL的人可以学习下,这本书还是很不错的。

    标签: VHDL FPGA Nios

    上传时间: 2014-07-09

    上传用户:米米阳123

  • 基于Quartus II FPGA/CPLD数字系统设计实例(VHDL源代码文件)

      本资料是关于基于Quartus II FPGA/CPLD数字系统设计实例(VHDL源代码文件),需要的可以自己下载。

    标签: Quartus FPGA CPLD VHDL

    上传时间: 2013-11-12

    上传用户:VRMMO

  • quartus ii实验手册

    quartus ii实验手册

    标签: quartus 实验手册

    上传时间: 2013-11-16

    上传用户:zhichenglu

  • Nios II软件构建工具入门

    Nios II软件构建工具入门 The Nios® II Software Build Tools (SBT) allows you to construct a wide variety of complex embedded software systems using a command-line interface. From this interface, you can execute Software Built Tools command utilities, and use scripts other tools) to combine the command utilities in many useful ways. This chapter introduces you to project creation with the SBT at the command line This chapter includes the following sections: ■ “Advantages of Command-Line Software Development” ■ “Outline of the Nios II SBT Command-Line Interface” ■ “Getting Started in the SBT Command Line” ■ “Software Build Tools Scripting Basics” on page 3–8

    标签: Nios 软件

    上传时间: 2013-11-15

    上传用户:nanxia

  • 使用Nios II软件构建工具

     使用Nios II软件构建工具 This chapter describes the Nios® II Software Build Tools (SBT), a set of utilities and scripts that creates and builds embedded C/C++ application projects, user library projects, and board support packages (BSPs). The Nios II SBT supports a repeatable, scriptable, and archivable process for creating your software product. You can invoke the Nios II SBT through either of the following user interfaces: ■ The Eclipse™ GUI ■ The Nios II Command Shell The purpose of this chapter is to make you familiar with the internal functionality of the Nios II SBT, independent of the user interface employed.

    标签: Nios 软件

    上传时间: 2013-10-11

    上传用户:china97wan

  • 远程配置Nios II处理器应用笔记

         通过以太网远程配置Nios II 处理器 应用笔记 Firmware in embedded hardware systems is frequently updated over the Ethernet. For embedded systems that comprise a discrete microprocessor and the devices it controls, the firmware is the software image run by the microprocessor. When the embedded system includes an FPGA, firmware updates include updates of the hardware image on the FPGA. If the FPGA includes a Nios® II soft processor, you can upgrade both the Nios II processor—as part of the FPGA image—and the software that the Nios II processor runs, in a single remote configuration session.

    标签: Nios 远程 处理器 应用笔记

    上传时间: 2013-11-22

    上传用户:chaisz

  • 面向Eclips的Nios II软件构建工具手册

    面向Eclips的Nios II软件构建工具手册 The Nios® II Software Build Tools (SBT) for Eclipse™ is a set of plugins based on the Eclipse™ framework and the Eclipse C/C++ development toolkit (CDT) plugins. The Nios II SBT for Eclipse provides a consistent development platform that works for all Nios II embedded processor systems. You can accomplish all Nios II software development tasks within Eclipse, including creating, editing, building, running, debugging, and profiling programs.

    标签: Eclips Nios 软件

    上传时间: 2013-11-01

    上传用户:瓦力瓦力hong

  • 怎样使用Nios II处理器来构建多处理器系统

    怎样使用Nios II处理器来构建多处理器系统 Chapter 1. Creating Multiprocessor Nios II Systems Introduction to Nios II Multiprocessor Systems . . . . . . . . . . . . . . 1–1 Benefits of Hierarchical Multiprocessor Systems  . . . . . . . . . . . . . . . 1–2 Nios II Multiprocessor Systems . . . . . . . . . . . . . . . . . . . .  . . . . . . . . . . . . . 1–2 Multiprocessor Tutorial Prerequisites   . . . . . . . . . . .  . . . . . . . . . . . . 1–3 Hardware Designs for Peripheral Sharing   . . . . . . . . . . . .. . . . . . . . 1–3 Autonomous Multiprocessors   . . . . . . . . . . . . . . . . . . . . . .  . . . . . . . 1–3 Multiprocessors that Share Peripherals . . . . . . . . . . . . . . . . . . . . . . 1–4 Sharing Peripherals in a Multiprocessor System   . . . . . . . . . . . . . . . . . 1–4 Sharing Memory  . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–6 The Hardware Mutex Core  . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .  . . . . 1–7 Sharing Peripherals   . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . 1–8 Overlapping Address Space  . . . . . . . . . . . . . . . . . . . . . . . . . . . .  . . . . 1–8 Software Design Considerations for Multiple Processors . . .. . . . . 1–9 Program Memory  . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–9 Boot Addresses  . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 1–13 Debugging Nios II Multiprocessor Designs  . . . . . . . . . . . . . . . .  1–15 Design Example: The Dining Philosophers’ Problem   . . . . .. . . 1–15 Hardware and Software Requirements . . . . . . . . . . . . . . . .. . . 1–16 Installation Notes  . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–17 Creating the Hardware System   . . . . . . . . . . . . . . .. . . . . . 1–17 Getting Started with the multiprocessor_tutorial_start Design Example   1–17 Viewing a Philosopher System   . . . . . . . . . . . . . . . . . . . . . . . . . . . .  . . 1–18 Philosopher System Pipeline Bridges  . . . . . . . . . . . . . . . . . . . . . 1–19 Adding Philosopher Subsystems   . . . . . . . . . . . . . . . . . . . . . .  . . . . 1–21 Connecting the Philosopher Subsystems  . . . . . . . . . . . . .. . . . . 1–22 Viewing the Complete System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–27 Generating and Compiling the System   . . . . . . . . . . . . . . . . . .. 1–28

    标签: Nios 处理器 多处理器

    上传时间: 2013-11-21

    上传用户:lo25643

  • 使用Nios II紧耦合存储器教程

                 使用Nios II紧耦合存储器教程 Chapter 1. Using Tightly Coupled Memory with the Nios II Processor Reasons for Using Tightly Coupled Memory  . . . . . . . . . . . . . . . . . . . . . . . 1–1 Tradeoffs  . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–1 Guidelines for Using Tightly Coupled Memory . . . .. . . . . . . . 1–2 Hardware Guidelines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–2 Software Guidelines  . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . 1–3 Locating Functions in Tightly Coupled Memory  . . . . . . . . . . . . . 1–3 Tightly Coupled Memory Interface   . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–4 Restrictions   . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–4 Dual Port Memories  . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . 1–5 Building a Nios II System with Tightly Coupled Memory  . . . . . . . . . . . 1–5

    标签: Nios 耦合 存储器 教程

    上传时间: 2013-10-12

    上传用户:黄婷婷思密达

  • Nios II软件开发人员手册中的缓存和紧耦合存储器部分

            Nios II 软件开发人员手册中的缓存和紧耦合存储器部分 Nios® II embedded processor cores can contain instruction and data caches. This chapter discusses cache-related issues that you need to consider to guarantee that your program executes correctly on the Nios II processor. Fortunately, most software based on the Nios II hardware abstraction layer (HAL) works correctly without any special accommodations for caches. However, some software must manage the cache directly. For code that needs direct control over the cache, the Nios II architecture provides facilities to perform the following actions:

    标签: Nios 软件开发 存储器

    上传时间: 2013-10-25

    上传用户:虫虫虫虫虫虫