虫虫首页|资源下载|资源专辑|精品软件
登录|注册

EDA FPGA

  • 基于FPGA的电力系统谐波检测装置的研制.rar

    随着社会的发展,人们对电力需求特别是电能质量的要求越来越高。但由于非线性负荷大量使用,却带来了严重的电力谐波污染,给电力系统安全、稳定、高效运行带来严重影响,给供用电设备造成危害。如何最大限度的减少谐波造成的危害,是目前电力系统领域极为关注的问题。谐波检测是谐波研究中重要分支,是解决其它相关谐波问题的基础。因此,对谐波的检测和研究,具有重要的理论意义和实用价值。 目前使用的电力系统谐波检测装置,大多基于微处理器设计。微处理器是作为整个系统的核心,它的性能高低直接决定了产品性能的好坏。而这种微处理器为主体构成的应用系统,存在效率低、资源利用率低、程序指针易受干扰等缺点。由于微电子技术的发展,特别是专用集成电路ASIC(ApplicationSpecificIntegratedCircuit)设计技术的发展,使得设计电力系统谐波检测专用的集成电路成为可能,同时为谐波检测装置的硬件设计提供了一个新的发展途径。本文目标就是设计电力系统谐波检测专用集成电路,从而可以实现对电力系统谐波的高精度检测。采用专用集成电路进行谐波检测装置的硬件设计,具有体积小,速度快,可靠性高等优点,由于应用范围广,需求量大,电力系统谐波检测专用集成电路具有很好的应用前景。 本文首先介绍了国内外现行谐波检测标准,调研了电力系统谐波检测的发展趋势;随后根据装置的功能需求,特别是依据其中谐波检测国标参数的测量算法,为系统选定了基于FPGA的SOPC设计方案。 本文分析了电力系统谐波检测专用集成电路的功能模型,对专用集成电路进行了模块划分。定义了各模块的功能,并研究了模块间的连接方式,给出了谐波检测专用集成电路的并行结构。设计了基于FPGA的谐波检测专用集成电路设计和验证的硬件平台。配合专用集成电路的电子设计自动化(EDA)工具构建了智能监控单元专用集成电路的开发环境。 在进行FPGA具体设计时,根据待实现功能的不同特点,分为用户逻辑区域和Nios处理器模块两个部分。用户逻辑区域控制A/D转换器进行模拟信号的采样,并对采样得到的数字量进行谐波分析等运算。然后将结果存入片内的双口RAM中,等待Nios处理器的访问。Nios处理器对数据处理模块的结果进一步处理,得到其各自对应的最终值,并将结果通过串行通信接口发送给上位机。 最后,对设计实体进行了整体的编译、综合与优化工作,并通过逻辑分析仪对设计进行了验证。在实验室条件下,对监测指标的运算结果进行了实验测量,实验结果表明该监测装置满足了电力系统谐波检测的总体要求。

    标签: FPGA 电力系统 谐波检测

    上传时间: 2013-04-24

    上传用户:yw14205

  • 用FPGA实现直接序列扩频通信.rar

    扩频通信,即扩展频谱通信技术(Spread Spectrum Communication),它与光纤通信、卫星通信一同被誉为进入信息时代的三大高技术通信传输方式。 扩频通信是将待传送的信息数据用伪随机编码序列,也即扩频序列(SpreadSequence)调制,实现频谱扩展后再进行传输。接收端则采用相同的编码进行解调及相关处理,恢复出原始信息数据。 扩频通信系统与常规的通信系统相比,具有很强的抗人为干扰,抗窄带干扰,抗多径干扰的能力,并具有信息隐蔽、多址保密通信等特点。 现场可编辑门阵列FPGA(Field Programmable Gate Array)提供了极强的灵活性,可让设计者开发出满足多种标准的产品。FPGA所固有的灵活性和性能也可让设计者紧跟新标准的变化,并能提供可行的方法来满足不断变化的标准要求。 EDA 工具的出现使用户在对FPGA设计的输入、综合、仿真时非常方便。EDA打破了软硬件之间最后的屏障,使软硬件工程师们有了真正的共同语言,使目前一切仍处于计算机辅助设计(CAD)和规划的电子设计活动产生了实在的设计实体论文对扩频通信系统和FPGA设计方法进行了相关研究,并且用Altera公司的最新的FPGA开发平台QuartusII实现了一个基带扩频通信系统的发送端部分,最后用软件Protel99SE设计了相应的硬件电路。 该系统的设计主要分为两个部分。第一部分是用QuartusII软件设计了系统的VHDL语言描述代码,并对系统中每个模块和整个系统进行相应的功能仿真和时序时延仿真;第二部分是设计了以FPGA芯片EP1C3T144C8N为核心的系统硬件电路,并进行了相关测试,完成了预定的功能。

    标签: FPGA 直接序列 扩频通信

    上传时间: 2013-07-26

    上传用户:15679277906

  • 基于FPGA的计算机组成原理实验系统的设计与仿真.rar

    “计算机组成原理”是计算机专业的一门核心课程。传统的计算机组成原理实验是在指令格式、寻址方式、运算器、控制器、存储器等都相对固定的情况下进行,学生主要进行功能实现和验证,缺少自主设计和创新过程。 为改变这种状况,须更新现有的计算机组成原理实验系统。采用FPGA芯片作为载体,使用EDA开发工具,用硬件描述语言实现不同的硬件逻辑,再与硬件的输入输出接口线路相连,最终组成一台可用于组成实验教学的完整计算机系统。这期间学生将掌握组成原理实验系统的各个部件的功能及其相互之间如何协作。本实验系统能够让学生完成有关计算机组成原理的部件实验和整机实验:部件实验包括加法器、乘法器、除法器、算术逻辑运算单元、控制器、存储器等;整机实验可以独立实现各部件的功能描述。该系统能够帮助学生巩固课堂知识并增强设计能力。 为实现上述目的,依据EDA技术的开发流程和方法,建立了一个完整的体系,其中包括控制模块、内存模块、运算器模块、通用寄存器组及其控制部件、程序计数器、地址寄存器、指令寄存器、时序部件、数据控制部件、状态值控制部件,以及为帮学生调试而专门设计的输出观察部件。在Quartus Ⅱ开发环境下,使用Altera公司FPGA芯片,采用VHDL,语言设计并实现了上述模块。经过仿真测试,所实现的各功能模块作为独立部件时能完成各自功能:而将这些部件组合起来的整机系统,可以执行程序段和进行各种运算处理,达到了设计要求。

    标签: FPGA 计算机组成原理 实验系统

    上传时间: 2013-05-31

    上传用户:hebmuljb

  • 基于FPGA的嵌入式TCPIP协议栈的实现.rar

    本文论述了嵌入式TCP/IP协议栈的实现,介绍了TCP/IP协议栈的原理,以及硬线实现TCP/IP协议栈的意义和应用。 第一章为绪论,介绍论文研究的目的、内容、意义和国内外研究发展的现状。 第二章介绍FPGA设计的流程和Verilog HDL设计语言。着重介绍了FPGA的代码输入、编译、综合、仿真和下载等等步骤,并且介绍了FPGA设计中使用到的EDA软件。介绍了Verilog HDL语言的起源,以及Verilog HDL语言的优缺点,并与VHDL语言进行了简单的比较。 第三章介绍嵌入式系统要实现的经过剪裁的TCP/IP协议栈的内容。着重介绍了要实现的TCP/IP协议栈的子协议,包括TCP协议、UDP协议、IP协议、ARP协议、ICMP协议。在介绍这些协议的时候,介绍了这些协议的工作原理,以及这些协议要用到的报文的格式。 第四章介绍实现剪裁的TCP/IP协议栈的实现,具体介绍的经过剪裁的TCP/IP各个模块的设计工作。这个部分着重介绍各个模块的设计方法,实现各个模块的过程。在设计完这些模块后,对这些模块的仿真进行了仿真。 第五章是全文的总结,概括了作者在这次毕业设计中的主要工作和课题的意义,同时指出了进一步工作的方向和需要解决的问题。

    标签: TCPIP FPGA 嵌入式

    上传时间: 2013-07-03

    上传用户:leesuper

  • 基于FPGA的GPIB控制器的IP核设计.rar

    当前,片上系统(SOC)已成为系统实现的主流技术。流片风险与费用增加、上市时间压力加大、产品功能愈加复杂等因素使得SOC产业逐渐划分为IP提供者、SOC设计服务者和芯片集成者三个层次。SOC设计已走向基于IP集成的平台设计阶段,经过严格验证质量可靠的IP核成为SOC产业中的重要一环。 GPIB控制器芯片是组建自动测试系统的核心,在测试领域应用广泛。本人通过查阅大量的技术资料,分析了集成电路在国内外发展的最新动态,提出了基于FPGA的自主知识产权的GPIB控制器IP核的设计和实现。 本文首先讨论了基于FPGA的GPIB控制器的背景意义,接着对FPGA开发所具备的基本知识作了简要介绍。文中对GPIB总线进行了简单的描述,根据芯片设计的主要思想,重点在于论述怎样用FPGA来实现IEEE-488.2协议,并详细阐述了GPIB控制器的十种接口功能及其状态机的IP核实现。同时,对数据通路也进行了较为细致的说明。在设计的时候采用基于模块化设计思想,用VerilogHDL语言完成各模块功能描述,通过Synplifv软件的综合,用Modelsim对设计进行了前、后仿真。最后利用生成的模块符号采取类似画电路图的方法完成整个系统芯片的lP软核设计,并用EDA工具下载到了FPGA上。 为了更好地验证设计思想,借助EDA工具对GPIB控制器的工作状态进行了软件仿真,给出仿真结果,仿真波形验证了GPIB控制器的工作符合预想。最后,本文对基于FPGA的GPIB控制器的IP核设计过程进行了总结,展望了当前GPIB控制器设计的发展趋势,指出了开展进一步研究需要做的工作。

    标签: FPGA GPIB 控制器

    上传时间: 2013-06-12

    上传用户:mqien

  • 基于FPGA的高速FIR数字滤波器设计.rar

    本论文设计了一种基于FPGA的高速FIR数字滤波器,滤波器实现低通滤波,截止频率为1MHz,通带波纹小于1 dB,阻带最大衰减为-40 dB,输入输出数据为8位二进制,采样频率为10MHz。 论文首先简要介绍了数字滤波器的基本原理和线性FIR数字滤波器的性质、结构,根据滤波器的性能要求选择窗函数、确定系数,在算法上为了满足数字滤波器的要求,对系数放大512倍并取整,并用Matlab对数字滤波器原理进行了证明。同时简述了EDA技术和FPGA设计流程。 其次,论文说明了FIR数字滤波器模块的划分,并用Verilog语言在Modelsim环境下进行了功能测试。对于数字滤波器系数中的-1,-2,4这些简单的系数乘法直接进行移位和取反,可以极大的节省资源和优化设计。而对普通系数乘法采用4-BANT(4bits-at-a-time)的并行算法,用加法累加快速实现了乘积的运算;另外,在本设计进行部分积累加时,采用舍取冗余位,主要是根据设计时已对系数进行了放大,而输出时又要将结果相应的缩小,所以在累加时,提前对部分积缩小,从而减少了运算量,从时间和资源上都得到了优化。 论文的最后分别用Modelsim和Quartus II进行了FIR数字滤波器的前仿真和后仿真,将仿真的结果和Matlab中原理验证时得到的理想值进行了比较,并对所产生的误差进行了分析。仿真结果表明:本16阶FIR数字滤波器设计能够实现截止频率为1MHz的低通滤波,并且工作频率可达150MHz以上。

    标签: FPGA FIR 数字

    上传时间: 2013-05-24

    上传用户:qiaoyue

  • 基于FPGA的红外遥控电子密码锁的实现.rar

    本文介绍了一种基于现场可编程门阵列FPGA器件的电子密码锁的设计方法。重点阐述了红外遥控电子密码锁的整体架构设计;介绍了一种由PT2248作为发送器,MIM-R1AA 38KHZ红外一体化接收解调器作为接收器的红外遥控系统的构建方法;详细说明了如何运用EDA技术自顶向下的设计方法,来实现基于XILINX公司出品的Spartan-3E系列FPGA芯片的红外遥控解码、密码锁的解锁、密码修改、报警提示及液晶显示等功能。在分析红外遥控电子密码锁各功能模块时,本论文详细阐述了各模块的功能及外部接口信号,给出了各模块的仿真波形以及整个系统的测试流程和测试结果。本论文在介绍Spartan-3E系列FPGA芯片的特点和性能的同时,利用Spartan-3E系列的XC3S500芯片中的KCPSM3和自行设计完成的状态机控制器分别实现液晶显示控制器,通过比较分析得知KCPSM3实现的控制器,在对FPGA的资源利用方面更加合理,实现更加便捷。 本论文利用红外遥控技术解锁,大大提高了电子密码锁的安全性能;采用FPGA开发设计,所有算法完全由硬件电路来实现,使得系统的工作可靠性大为提高,同时由于FPGA具有在系统可编程功能,当设计需要更改时,只需更改FPGA中的控制和接口电路,利用EDA工具将更新后的设计下载到FPGA中即可,无需更改外部电路的设计,大大提高了设计的效率。因此,采用FPGA开发的数字系统,不仅具有很高的工作可靠性,其升级与改进也极其方便。

    标签: FPGA 红外遥控 电子密码锁

    上传时间: 2013-06-24

    上传用户:cy1109

  • H264AVC的CAVLC编码算法研究及FPGA实现.rar

    H.264/AVC是国际电信联盟与国际标准化组织/国际电工委员会联合推出的活动图像编码标准,简称H.264。作为最新的国际视频编码标准,H.264/AVC与MPEG-4、H.263等视频编码标准相比,性能有了很大的提高,并已在流媒体、数字电视、电话会议、视频存储等诸多领域得到广泛的应用。 本论文的研究课题是基于H.264/AVC视频编码标准的CAVLC(Context-based Adaptive Variable Length Coding,基于上下文的自适应可变长编码)编码算法研究及FPGA实现。对于变换后的熵编码,H.264/AVC支持两种编码模式:基于上下文的可变长编码(CAVLC)和基于上下文的自适应算术编码(CABAC,Context-based Adaptive BinaryArithmetic Coding)。在H.264/AVC中,尽管CAVLC算法也是采用了VLC编码,但是同以往标准不同,它所有的编码都是基于上下文进行。这种方法比传统的查单一表的方法提高了编码效率,但也增加了设计上的困难。 作者在全面学习H.264/AVC协议和深入研究CAVLC编码算法的基础上,确定了并行编码的CAVLC编码器结构框图,并总结出了影响CAVLC编码器实现的瓶颈。针对这些瓶颈,对CAVLC编码器中的各个功能模块进行了优化设计,这些优化设计包括多参考块的表格预测法、快速查找表法、算术消除法等。最后,用Verilog硬件描述语言对所设计的CAVLC编码器进行了描述,用EDA软件对其主要功能模块进行了仿真,并在Cyclone II系列EP2C20F484的FPGA上验证了它们的功能。结果表明,该CAVLC编码器各编码单元的编码速度得到了显著提高且均能满足实时通信要求,为整个CAVLC编码器的实时通信提供了良好的基础。

    标签: CAVLC H264 FPGA 264

    上传时间: 2013-06-22

    上传用户:diamondsGQ

  • 基于FPGA的多通道DMA控制器的IP核设计.rar

    当前,随着电子技术的飞速发展,智能化系统中需要传输的数据量日益增大,要求数据传送的速度也越来越快,传统的数据传输方式已无法满足目前的要求。在此前提下,采用高速数据传输技术成为必然,DMA(直接存储器访问)技术就是较理想的解决方案之一,能够满足信息处理实时性和准确性的要求。 本文以EDA工具、硬件描述语言和可编程逻辑器件(FPGA)为技术支撑,设计DMA控制器的总体结构。在通道检测模块中,解决了信号抗干扰和请求信号撤销问题,并提出并行通道检测算法;在优先级管理模块中提出了动态优先级端口响应机制;在传输模块中采用状态机的设计思想设计多个通道的数据传输。通过各模块问题的解决及新方法的采用,最终设计出基于FPGA的多通道DMA控制器的IP软核。实验仿真结果表明,本控制器传输速度较快,主频达100MHz以上,且工作稳定。

    标签: FPGA DMA 多通道

    上传时间: 2013-05-16

    上传用户:希酱大魔王

  • 基于软件无线电的16QAM调制解调器设计与FPGA实现.rar

    本文将高效数字调制方式QAM和软件无线电技术相结合,在大规模可编程逻辑器件FPGA上对16QAM算法实现。在当今频谱资源日趋紧缺的情况下有很大现实意义。 论文对16QAM软件实现的基础理论,带通采样理论、变速率数字信号处理相关抽取内插技术做了推导和分析;深入研究了软件无线电核心技术数字下变频原理和其实现结构;对CIC、半带等高效数字滤波器原理结构和性能作了研究;16QAM调制和解调系统设计采用自项向下设计思想;采用硬件描述语言VerilogHDL在EDA工具QuartusII环境下实现代码输入;对系统调试采用了算法仿真和在系统实测调试相结合方法。 论文首先对16QAM调制解调算法进行系统级仿真,并对实现的各模块的可行性仿真验证,在此基础上,完成了调制端16QAM信号的时钟分频模块、串并转换模块、星座映射、8倍零值内插、低通滤波以及FPGA和AD9857接口等模块;解调器主要完成带通采样、16倍CIC抽取滤波,升余弦滚降滤波,以及16QAM解码等模块,实现了16QAM调制器;给出了中频信号时域测试波形和频谱图。本系统在200KHz带宽下实现了512Kbps的高速数据数率传输。论文还对增强型数字锁相环EPLL的实现结构进行了研究和性能分析。

    标签: FPGA QAM 16

    上传时间: 2013-07-09

    上传用户:kennyplds