虫虫首页|资源下载|资源专辑|精品软件
登录|注册

计算机接口

计算机接口是指电子白板与电脑连接常见的接口,常应用于计算机。
  • 基于OpenCV的计算机视觉技术实现.rar

    OpencV是用来实现计算机视觉相关技术的开放源码工作库,是计算机视觉、图像处理、模式识别、计算机图形学、信号处理、视频监控、科学可视化等相关从业人员的好工具。本书介绍了大约200多个典型的技术问题,覆盖了基于OpenCV基础编程的主要内容,利用大量生动有趣的编程案例和编程技巧,从解决问题和答疑解惑入手,以因特网上最新资料为蓝本,深入浅出地说明了OpenCV中最典型和用途最广的程序设计方法。全书结构清晰、合理,范例实用、丰富,理论结合实践,即使读者只是略懂计算机视觉原理,也能人手对相关理论方法直接进行编码实现。 "基于OPENCV的计算机视觉技术实现"的图书目录…… 前言 第一章 使用OpenCV实现计算机视觉技术 1.1 计算机视觉技术 1.2 什么是OpenCV 1.3 基于OpenCV库的编程方法 本章小结 第二章 OpenCV的编程环境 2.1 OpenCV环境介绍 2.2 OpenCV的体系结构 2.3 OpenCV实例演示 本章小结 第三章 OpenCV编程风格 3.1 命名约定 3.2 结构 3.3 函数接口设计 3.4 函数实现 3.5 代码布局 3.6 移植性 3.7 文件操作 3.8 文档编写 本章小结 第四章 数据结构 4.1 基本数据结构 4.2 数组有关的操作 4.3 动态结构 本章小结 第五章 数据交互 5.1 绘图函数 5.2 文件存储 5.3 运行时类型信息和通用函数 5.4 错误处理函数 5.5 系统函数 本章小结 第六章 图像处理 6.1 边缘检测 6.2 直方图 6.3 Hough变换 6.4 几何变换 6.5 形态学 本章小结 第七章 结构与识别 7.1 轮廓处理函数 7.2 计算几何 7.3 平面划分 7.4 目标检测函数 7.5 生成与控制贝塞尔曲线 7.6 用OpenCV进行人脸检测 本章小结 第八章 图形界面(HighGUI) 8.1 读取和保存图像 8.2 OpenCV中的实用系统函数 本章小结 第九章 视频处理(CvCAM) 9.1 使用HighGUI对视频进行读写处理 9.2 CvCam对摄像头和视频流的使用 本章小结 第十章 OpenCV附加库第一部分 10.1 附加库介绍 10.2 形态学(morhing functions) 本章小结 第十一章 OpenCV附加库第二部分——隐马尔可夫模型 11.1 隐马尔可夫模型概述 11.2 隐马尔可夫模型中的基本结构与函数介绍 11.3 隐马尔可夫模型中的函数介绍 11.4 人脸识别工具 本章小结 第十二章 核心库综合例程 12.1 检测黑白格标定板内指定矩形区域内的角点 12.2 解线性标定方程组程序 本章小结 第十三章 运动与跟踪 13.1 图像统计的累积函数 13.2 运动模板函数 13.3 对象跟踪 13.4 光流 13.5 预估器 13.6 Kalman滤波器跟踪示例 13.7 用Snake方法检测可变形体的轮廓 13.8 运动目标跟踪与检测 本章小结 第十四章 立体视觉第一部分——照相机定标 14.1 坐标系介绍 14.2 透视投影矩阵的获得 14.3 摄像机参数的获取 14.4 径向畸变的校正 14.5 使用OpenCV及CVUT进行摄像机定标 14.6 OpenCV中的定标函数 14.7 CVUT介绍 本章小结 第十五章 立体视觉第二部分——三维重建 15.1 极线几何 15.2 特征点匹配 15.3 三维重建 15.4 OpenCV中相关函数介绍 本章小结 第十六章 立体视觉第三部分——三维重建算法 16.1 图像校正 16.2 已校正图像的快速三维重建 16.3 Birchfield算法 16.4 OpenCV中相关函数介绍 本章小结 第十七章 立体视觉第四部分——立体视觉实例 17.1 图像校正实例代码 17.2 基于窗口的稀疏点匹配及三维重建之一 17.3 基于窗口的稀疏点匹配及三维重建之二 17.4 Birchfield算法的OpenCV实现 本章小结 第十八章 常见问题解疑 18.1 安装与编译出错解决方法 18.2 OpenCV库基本技术问题 18.3 OpenCV在Linux下的相关问题 18.4 OpenCV库中的陷阱和bug

    标签: OpenCV 计算机视觉 技术实现

    上传时间: 2013-07-18

    上传用户:huyiming139

  • 仪器接口平台SCPI解析模块设计.rar

    随着计算机技术的迅猛发展,受其影响的仪器行业也发生了巨大的变革,即仪器的手动操作使用改为计算机控制自动测试。随着自动测试技术和程控仪器的发展,除了要求物理硬件接口标准化外,也要求软件控制标准化。 硬件方面,从20世纪50代自动测试概念建立起,经过初期专用接口、半专用接口到20世纪80年代中期才普及推广开放式标准接口总线,如RS232串行通信接口总线、GPIB通用接口总线、PXI计算机外围仪器系统总线、VXI块式仪器系统总线等。 软件方面,1987年6月颁布的IEEE488.2(程控仪器消息交换协议)标准首先解决了数据结构方面的问题,但仍将大量的器件语义留给设计者自由定义。1990年4月,国际上九家仪器公司在IEEE488.2基础上提出了SCPI(Standard Commands for Programmable Instruments程控仪器标准命令),才使程控仪器器件数据和命令得到标准化。SCPI的总目标是缩短自动测试系统程序开发时间,保护仪器制造者和使用者双方的硬、软件投资,为仪器控制和数据利用提供广泛兼容的编码环境。 仪器接收到SCPI消息后进行响应:接收字符串消息、词法分析、语法分析、中间代码生成、优化和目标代码生成,语法分析模块的性能直接影响到程控执行效率。为了进一步简化仪器内语法分析模块、提高程控执行效率,本课题提出了在接口电路中加入解析模块的思想,可将控制器发送到仪器的SCPI消息即复杂的ASCII码字符串转变为简单的二进制代码。采用此解析模块将大大简化仪器设计者的软件工作,既能实现仪器语言标准化又能提高仪器对远程 控制的响应速度,这在研究实验室内的自制仪器时将是很有用的。 仪器接口有很多种,本课题主要讨论了RS232和GPIB两种接口。本设计中仪器接口板是独立于仪器的,与仪器单独使用微处理器,若要与仪器连接实现通信只需在两微处理器之间进行通信即可,这样做的目的是:一方面可以不影响仪器的设计和操作,一方面可以实现接口板的通用性和仪器的可换性。针对于RS232接口为一简单接口,我先将工作重心放在软件设计上,主要考虑怎样把复杂的ASCII码字符串解析为简单的二进制代码。针对于GPIB接口,软件设计的主要部分已完成,再把工作重心放在硬件设计上,采用性价比更高的CPID实现GPIB接口芯片NAT9914。为了观察解析结果还加入了LCD显示。本设计在开发通用的、低价的仪器接口板方面做了一个有益的尝试,为进一步的自动测试系统研究打下了基础。 关键词:仪器;SCPI;RS232接口;GPIB接口;CPLD

    标签: SCPI 仪器接口 模块设计

    上传时间: 2013-04-24

    上传用户:Andy123456

  • 基于DSP和FPGA导航计算机硬件电路研究与设计.rar

    为适应组合导航计算机系统的微型化、高性能度的要求,拓宽导航计算机的应用领域,本文设计出一种基于浮点型DSP(TMS320C6713)和可编程逻辑阵列器件(FPGA: EP1C12N240C8)协同合作的导航计算机系统。 论文在阐述了组合导航计算机的特点和应用要求后,提出基于DSP和FPGA的组合导航计算机系统方案。该方案以DSP为导航解算处理器,由FPGA完成IMU信号的采集和缓存以及系统控制信号的整合;DSP通过EMIF接口实现和FPGA通信。在此基础上研究了各扩展通信接口、系统硬件原理图和PCB的开发,且在FPGA中使用调用IP核来实现FIR低通滤波数据处理机抖激光陀螺的机抖振动的影响。其次,详细阐述了利用TI公司的DSP集成开发环境和DSP/BIOS准实时操作系统开发多任务系统软件的具体方案。本文引入DSP/BIOS实时操作系统提供的多任务机制,将采集处理按照功能划分四个相对独立的任务,这些任务在DSP/BIOS的调度下,按照用户指定的优先级运行,大大提高系统的工作效率。最后给了DSP芯片Bootloader的制作方法。 导航计算机系统研制开发是软、硬件研究紧密结合的过程。在微型导航计算机系统方案建立的基础上,本文首先讨论了系统硬件整体设计和软件开发流程;其次针对导航计算机系统各个功能模块以及多项关键技术进行了设计与开发工作,涉及系统数据通信模块、模拟信号采集模块和数据存储模块;最后,对导航计算机系统进行了联合调试工作,并对各个模块进行了详细的功能测试与验证,完成了微型导航计算机系统的制作。 以DSP/FPGA作为导航计算机硬件平台的捷联式惯性导航实时数据系统能够满足系统所要求的高精度、实时性、稳定性要求,适应了其高性能、低成本、低功耗的发展方向。

    标签: FPGA DSP 导航计算机

    上传时间: 2013-04-24

    上传用户:lishuoshi1996

  • 基于FPGA的SCI串行通信接口的研究与实现.rar

    国家863项目“飞行控制计算机系统FC通信卡研制”的任务是研究设计符合CPCI总线标准的FC通信卡。本课题是这个项目的进一步引伸,用于设计SCI串行通信接口,以实现环上多计算机系统间的高速串行通信。 本文以此项目为背景,对基于FPGA的SCI串行通信接口进行研究与实现。论文先概述SCI协议,接着对SCI串行通信接口的两个模块:SCI节点模型模块和CPCI总线接口模块的功能和实现进行了详细的论述。 SCI节模型包含Aurora收发模块、中断进程、旁路FIFO、接受和发送存储器、地址解码、MUX。在SCI节点模型的实现上,利用FPGA内嵌的RocketIO高速串行收发器实现主机之间的高速串行通信,并利用Aurora IP核实现了Aurora链路层协议;设计一个同步FIFO实现旁路FIFO;利用FPGA上的块RAM实现发送和接收存储器;中断进程、地址解码和多路复合分别在控制逻辑中实现。 CPCI总线接口包括PCI核、PCI核的配置模块以及用户逻辑三个部分。本课题中,采用FPGA+PCI软核的方法来实现CPCI总线接口。PCI核作为PCI总线与用户逻辑之间的桥梁:PCI核的配置模块负责对PCI核进行配置,得到用户需要的PCI核;用户逻辑模块负责实现整个通信接口具体的内部逻辑功能;并引入中断机制来提高SCI通信接口与主机之间数据交换的速率。 设计选用硬件描述语言VerilogHDL和VHDL,在开发工具Xilinx ISE7.1中完成整个系统的设计、综合、布局布线,利用Modelsim进行功能及时序仿真,使用DriverWorks为SCI串行通信接口编写WinXP下的驱动程序,用VC++6.0编写相应的测试应用程序。最后,将FPGA设计下载到FC通信卡中运行,并利用ISE内嵌的ChipScope Pro虚拟逻辑分析仪对设计进行验证,运行结果正常。 文章最后分析传输性能上的原因,指出工作中的不足之处和需要进一步完善的地方。

    标签: FPGA SCI 串行通信接口

    上传时间: 2013-04-24

    上传用户:竺羽翎2222

  • 基于FPGA的计算机组成原理实验系统的设计与仿真.rar

    “计算机组成原理”是计算机专业的一门核心课程。传统的计算机组成原理实验是在指令格式、寻址方式、运算器、控制器、存储器等都相对固定的情况下进行,学生主要进行功能实现和验证,缺少自主设计和创新过程。 为改变这种状况,须更新现有的计算机组成原理实验系统。采用FPGA芯片作为载体,使用EDA开发工具,用硬件描述语言实现不同的硬件逻辑,再与硬件的输入输出接口线路相连,最终组成一台可用于组成实验教学的完整计算机系统。这期间学生将掌握组成原理实验系统的各个部件的功能及其相互之间如何协作。本实验系统能够让学生完成有关计算机组成原理的部件实验和整机实验:部件实验包括加法器、乘法器、除法器、算术逻辑运算单元、控制器、存储器等;整机实验可以独立实现各部件的功能描述。该系统能够帮助学生巩固课堂知识并增强设计能力。 为实现上述目的,依据EDA技术的开发流程和方法,建立了一个完整的体系,其中包括控制模块、内存模块、运算器模块、通用寄存器组及其控制部件、程序计数器、地址寄存器、指令寄存器、时序部件、数据控制部件、状态值控制部件,以及为帮学生调试而专门设计的输出观察部件。在Quartus Ⅱ开发环境下,使用Altera公司FPGA芯片,采用VHDL,语言设计并实现了上述模块。经过仿真测试,所实现的各功能模块作为独立部件时能完成各自功能:而将这些部件组合起来的整机系统,可以执行程序段和进行各种运算处理,达到了设计要求。

    标签: FPGA 计算机组成原理 实验系统

    上传时间: 2013-05-31

    上传用户:hebmuljb

  • 基于FPGA的蓝牙HCIUART控制接口设计.rar

    通用异步收发器UART(Universal Asynchronous Receiver/Transmitter)是广泛使用的串行传输协议。串行外设用到异步串行接口一般采用专用集成电路实现。但是这类芯片一般包含许多辅助模块,而时常不需要使用完整的UART的功能和辅助功能,或者当在FPGA上设计时,需要将UART功能集成到FPGA内部而不能使用芯片。蓝牙主机控制器接口则是实现主机设备与蓝牙模块之间互操作的控制部件。当在使用蓝牙设备的时候尤其是在监控场所,接口控制器在控制数据与计算机的传输上就起了至关重要的作用。 论文针对信息技术的发展和开发过程中的实际需要,设计了一个蓝牙HCI-UART(Host Controller Interface-Universal Asynchronous Receiver/Transmitter)控制接口的模块。使用VHDL将其核心功能集成,既可以单独使用,也可集成到系统芯片中,并且整个设计紧凑、稳定且可靠,其用途广泛,具有一定的使用价值。 本设计采用TOP-DOWN设计方法,整体上分为UART接口和蓝牙主机控制器接口两部分。首先根据UART和蓝牙主机控制器接口的实现原理和设计指标要求进行系统设计,对系统划分模块以及各个模块的信号连接;然后进行模块设计,设计出每个模块的功能,并用VHDL语言编写代码来实现模块功能;再使用ISE8.2I自带的仿真器对各模块进行功能仿真和时序仿真;最后进行硬件验证,在Virtex-II开发板上对系统进行功能验证。实现了发送、接收和波特率发生等功能,验证了结果,表明设计正确,功能良好,符合设计要求。

    标签: HCIUART FPGA 蓝牙

    上传时间: 2013-07-13

    上传用户:wfl_yy

  • 基于FPGA的PCI总线接口桥接逻辑设计.rar

    随着信息技术的发展,数字信号的采集与处理在科学研究、工业生产、航空航天、医疗卫生等部门得到越来越广泛的应用,这些应用中对数字信号的传输速度提出了比较高的要求。传统的基于ISA总线的信号传输效率低,严重制约着系统性能的提高。 PCI总线以其高性能、低成本、开放性、软件兼容性等众多优点成为当今最流行的计算机局部总线。但是,由于PCI总线硬件接口复杂、不易于接入、协议规范比较繁琐等缺点,常常需要专用的接口芯片作为桥接,为了解决这一系列问题,本文提出了一种基于FPGA的PCI总线接口桥接逻辑的实现方案,支持PCI突发访问方式,突发长度为8至128个双字长度,核心FPGA芯片采用ALTERA公司的CYCLONE FPGA系列的EP1C6Q240C8,容量为6000个逻辑宏单元,速度为-8,编译后系统速度可以达到80MHz,取得了良好的效果。 基于FPGA的PCI总线接口桥接逻辑的核心是PCI接口模块。在硬件方面,特别讨论了PCI接口模块、地址转换模块、数据缓冲模块、外部接口模块和SRAM DMA控制模块等五个功能模块的设计方案和硬件电路实现方法,着重分析了PCI接口模块的数据传输方式,采用模块化的方法设计了内部控制逻辑,并进行了相关的时序仿真和逻辑验证,硬件需要软件的配合才能实现其功能,因此设备驱动程序的设计是一个重要部分,论文研究了Windows XP体系结构下的WDM驱动模式的组成、开发设备驱动程序的工具以及开发系统实际硬件的设备驱动程序时的一些关键技术。 本文最后利用基于FPGA的PCI总线接口桥接逻辑中的关键技术,对PCI数据采集卡进行了整体方案的设计。该系统采用Altera公司的cyclone Ⅱ系列FPGA实现。

    标签: FPGA PCI 总线接口

    上传时间: 2013-07-23

    上传用户:ca05991270

  • 基于FPGA的PCIE1接口设计与实现.rar

    随着现代计算机技术、微电子技术的进一步结合和发展,可编程逻辑技术已成为当前电子设计领域中最具活力和发展前途的技术。通过采用FPGA/EDA技术,对通信卡的PCI接口、E1接口、外部逻辑电路进行集成,并利用目前通用计算机强大的数字信息处理能力,可大大简化CTI硬件的设计,降低制造成本,提高系统可靠性。 据此,本论文提出了基于FPGA/EDA技术的PCI-E1接口设计方法,文中对PCI总线接口、E1接口及两接口的互连等相关技术进行了深入分析,对各功能模块和系统进行了VHDL建模与仿真。 同时,论文还介绍了基于ALTERACyclone系列FPGA芯片的PCI-E1接口硬件平台的设计原理和基于DriverWorks的WDM驱动程序的设计方法。 本论文涉及的软件、硬件系统已经开发、调试完成。测试结果表明:1、论文所研究的PCI接口(主/从设备)在进行配置读/写、I/O读写、存储器读写及总线的猝发数据传送等操作中,各项性能符合PCI2.3规范的要求。 2、论文所研究的E1接口支持成帧和不成帧两种传输方式:在成帧模式下,信息的有效传送速率为31×64Kbit/s;在不成帧的模式下,信息的有效传送速率为2.048Mbit/s。E1输出口各项参数符合CCITT相关规范要求。 3、论文所研究的PCI-E1接口在与现网设备、模块的对接测试中,性能稳定。基于本论文的产品已经正式发布。国内部分厂家已对该产品进行了多方面的综合测试,并计划将其应用到实际的生产和研究中。 本论文对于CTI硬件的设计是一项尝试和革新。测试和应用证明该方法行之有效,符合设计目标,具有较广阔的应用前景。

    标签: PCIE1 FPGA 接口设计

    上传时间: 2013-06-02

    上传用户:wpwpwlxwlx

  • 采用FPGA实现基于ATCA架构的2.5Gbps串行背板接口

    当前,在系统级互连设计中高速串行I/O技术迅速取代传统的并行I/O技术正成为业界趋势。人们已经意识到串行I/O“潮流”是不可避免的,因为在高于1Gbps的速度下,并行I/O方案已经达到了物理极限,不能再提供可靠和经济的信号同步方法。基于串行I/O的设计带来许多传统并行方法所无法提供的优点,包括:更少的器件引脚、更低的电路板空间要求、减少印刷电路板(PCB)层数、PCB布局布线更容易、接头更小、EMI更少,而且抵抗噪声的能力也更好。高速串行I/O技术正被越来越广泛地应用于各种系统设计中,包括PC、消费电子、海量存储、服务器、通信网络、工业计算和控制、测试设备等。迄今业界已经发展出了多种串行系统接口标准,如PCI Express、串行RapidIO、InfiniBand、千兆以太网、10G以太网XAUI、串行ATA等等。 Aurora协议是为私有上层协议或标准上层协议提供透明接口的串行互连协议,它允许任何数据分组通过Aurora协议封装并在芯片间、电路板间甚至机箱间传输。Aurora链路层协议在物理层采用千兆位串行技术,每物理通道的传输波特率可从622Mbps扩展到3.125Gbps。Aurora还可将1至16个物理通道绑定在一起形成一个虚拟链路。16个通道绑定而成的虚拟链路可提供50Gbps的传输波特率和最大40Gbps的全双工数据传输速率。Aurora可优化支持范围广泛的应用,如太位级路由器和交换机、远程接入交换机、HDTV广播系统、分布式服务器和存储子系统等需要极高数据传输速率的应用。 传统的标准背板如VME总线和CompactPCI总线都是采用并行总线方式。然而对带宽需求的不断增加使新兴的高速串行总线背板正在逐渐取代传统的并行总线背板。现在,高速串行背板速率普遍从622Mbps到3.125Gbps,甚至超过10Gbps。AdvancedTCA(先进电信计算架构)正是在这种背景下作为新一代的标准背板平台被提出并得到快速的发展。它由PCI工业计算机制造商协会(PICMG)开发,其主要目的是定义一种开放的通信和计算架构,使它们能被方便而迅速地集成,满足高性能系统业务的要求。ATCA作为标准串行总线结构,支持高速互联、不同背板拓扑、高信号密度、标准机械与电气特性、足够步线长度等特性,满足当前和未来高系统带宽的要求。 采用FPGA设计高速串行接口将为设计带来巨大的灵活性和可扩展能力。Xilinx Virtex-IIPro系列FPGA芯片内置了最多24个RocketIO收发器,提供从622Mbps到3.125Gbps的数据速率并支持所有新兴的高速串行I/O接口标准。结合其强大的逻辑处理能力、丰富的IP核心支持和内置PowerPC处理器,为企业从并行连接向串行连接的过渡提供了一个理想的连接平台。 本文论述了采用Xilinx Virtex-IIPro FPGA设计传输速率为2.5Gbps的高速串行背板接口,该背板接口完全符合PICMG3.0规范。本文对串行高速通道技术的发展背景、现状及应用进行了简要的介绍和分析,详细分析了所涉及到的主要技术包括线路编解码、控制字符、逗点检测、扰码、时钟校正、通道绑定、预加重等。同时对AdvancedTCA规范以及Aurora链路层协议进行了分析, 并在此基础上给出了FPGA的设计方法。最后介绍了基于Virtex-IIPro FPGA的ATCA接口板和MultiBERT设计工具,可在标准ATCA机框内完成单通道速率为2.5Gbps的全网格互联。

    标签: FPGA ATCA Gbps 2.5

    上传时间: 2013-05-28

    上传用户:frank1234

  • 54x 系列DSP 与计算机并口通信的设计方案

    数字信号微处理器与计算机之间的数据通信越来越受到重视。本文主要介绍TI公司'54x系列DSP 通过主机接口(HPI)与计算机并口进行通信的简易设计方案。该方案以简单的电路设计实现了稳定的数据传

    标签: 54x DSP 计算机并口 通信

    上传时间: 2013-06-10

    上传用户:youth25