虫虫首页|资源下载|资源专辑|精品软件
登录|注册

电量采集

电能量采集装置是一种远端采集、存储、远传装置。在电能计量计费自动化系统中,电能量采集装置是电能数据的通讯中枢,一方面采集、存储数字电能表以串行通讯形式输出的电能数据;另一方面将采集到的电能数据通过上行通道传输到电能计费自动化系统的主站中,地位十分重要。
  • 基于FPGA的实时图像采集与处理系统研究.rar

    随着数码技术的不断发展,数字图像处理的应用领域不断扩大,其实时处理技术成为研究的热点。VLSI技术的迅猛发展为数字图像实时处理技术提供了硬件基础。其中FPGA(现场可编程门阵列)的特点使其非常适用于进行一些基于像素级的图像处理。 传统的图像显示系统必须连接到PC才能观察图像视频,存在着高速实时性、稳定性问题。本设计脱离高清晰工业相机必须与PC连接才可以观看到高清晰图像的束缚,实现系统的小型化。针对130万像素彩色1/2英寸镁光CMOS图像传感器,提出用硬件实现Bayer格式到RGB格式转换的设计方案,完成由黑白图像到高清彩色图像的转换,用SDRAM作缓存,输出标准VGA信号,可直接连接VGA显示器、投影仪等设备进行实时的视频图像观看,与模拟相机740X576分辨率(480线)图像相比,设计图像画质相当于1280X1024分辨率(750线),最高帧率25fps,整个结构应用FPGA作为主控制器,用少量的缓存代替传统的大容量存储,加快了运算速率,减小了电路规模,满足图像实时处理的要求,使展现出来的视频图像得到质的飞跃。可以广泛应用于工业控制和远程监控等领域。 论文研究的重点是采用altera公司EP2C芯片前端驱动CMOS图像传感器,实时采集Bayer图像象素,分析研究CFA图像插值算法,实现了基于FPGA的实时线性插值算法,能够对输入是每像素8bit、分辨率为1280×1204的Bayer模式图像数据进行实时重构,输出彩色RGB图像。由端口FIFO作为数据缓冲,存储一帧图像到高速SDRAM,构建VGA显示控制器,实现对输入是每像素24bit(RGB101010)、分辨率为640×480、帧频25HZ彩色图像进行实时显示。 整个模块结构包括电源模块单元等、CMOS成像单元、FPGA数据处理单元、SDRAM控制单元、VGA显示接口单元。 最后,对系统进行了调试。经实验验证,系统达到了实时性,能正确和可靠的工作。整个设计模块能够满足高帧率和高清晰的实时图像处理,占用系统资源很少,用较少的时间完成了图像数据的转换,提高了效率。

    标签: FPGA 实时图像采集 与处理系统

    上传时间: 2013-06-08

    上传用户:zhengjian

  • 基于FPGA的数据采集系统研究.rar

    数据采集是信号与信息系统中一个重要的组成部分,也是数字信号处理的关键环节。本论文主要介绍一种基于FPGA的数据采集系统,提出一种由高速A/D转换芯片、高性能FPGA和PCI总线接口组成的数据采集系统方案及其的硬件电路实现方法。该系统利用AD器件对信号进行放大、差分转换和模数转换,利用FPGA设计内部模块和时钟信号来进行电路控制及实现数据缓存、数据传递等功能,最后通过PCI逻辑接口把暂存在FPGA的数据传送到PC主机。FPGA作为采集系统的核心部件,完成了内部数字电路设计,使系统具有很高的可适应性、可扩展性和可调试性。 本论文从研究数据采集的理论出发,重点研究了A/D模数转换、FPGA芯片设计及PCI总结接口设计,完成了系统的各级电路硬件设计,并通过系统仿真验证了系统的可行性。

    标签: FPGA 数据采集 系统研究

    上传时间: 2013-04-24

    上传用户:小杨高1

  • 基于FPGA的cPCI接口数据采集系统设计.rar

    高速数据采集系统在信号检测、雷达、图像处理、网络通信等领域有广泛应用,不同的应用要求使用不同的总线和不同的设计,但是,无论基于何种应用,其设计的关键在接口的实现上。 @@ 随着cPCI总线技术的发展,cPCI总线逐渐代替了PCI总线、VME总线,成为测控领域中最受人们青睐的总线形式。 @@ 为满足高速采集过程中数据传输速度的要求和采集卡与PC机连接的机械强度的要求,本论文提出设计基于cPCI总线接口的数据采集系统。设计中利用单片FPGA芯片实现PCI协议,代替传统的FIFO芯片和串并转换芯片,并完成对模拟电路的控制功能;并提出将应用程序中的一部分数据读写操作放入动态链接库中,减少因应用程序反复调用驱动程序而造成的资源浪费和时间的延迟。 @@ 通过分析PCI总线协议,理解高频数字电路设计方法和高速数据采集原理,本文开发了基于cPCI接口的高速数据采集系统。经过综合测试和现场应用验证表明,采集系统已达到了要求的性能指标。 @@关键词:FPGA;数据采集系统;cPCI; PC

    标签: FPGA cPCI 接口

    上传时间: 2013-07-08

    上传用户:ikemada

  • 基于FPGA的高速数据采集存储系统设计.rar

    高速大容量数据采集存储技术在通信、航天、气象、雷达等多个领域中拥有着广泛应用。各领域科技与信息技术不断发展,对数据的采集和传输速率要求越来越高,对数据存储的速度和容量要求也越来越高。高速数据存储主要包括存储介质选取、存储器控制、数据存储和总线应用等,如何实时、高速、连续大量地采集存储数据是一个关键性问题。 本文设计了一种基于FPGA控制的高速数据采集存储系统。该系统选用符合ATA-6规范的IDE硬盘作为数据存储介质,采用RAID0配置的磁盘阵列形式,并配合板载的128MB内存实现对数据的高速大容量稳定存储。 该磁盘阵列同时管理五个IDE硬盘,平均数据流达到250MB/s,峰值传输速率达到500MB/s,也可以扩展更多硬盘构成大容量的磁盘阵列。系统采用PCI-9054桥芯片与计算机连接,可同时存储四路AD数据,可以通过人机交互界面实时监控数据采集情况,在计算机上实现整个磁盘阵列的实时控制。

    标签: FPGA 高速数据 采集

    上传时间: 2013-06-14

    上传用户:2404

  • 基于FPGA的动态光谱数据采集系统.rar

    近红外光谱法是血液成分无创检测方法中的热点,也是取得成果最多的方法之一。但是,个体差异和测量条件是影响近红外光谱血液成分无创检测的一个较突出的问题。而动态光谱法就是针对这个问题而提出的一种全新的近红外无创血液成分浓度检测方法。它从原理上消除了个体差异和测量条件等对光谱检测的影响,为基于近红外光谱法的血液成分无创检测方法进入临床应用去除了一个较为关键的障碍。因此,本文根据动态光谱检测原理设计了基于FPGA的动态光谱数据采集系统。 在分析了动态光谱数据采集系统的性能要求后,采用DALSA的高性能线阵CCD IL-C6-2048C作为光电转换器件;根据CCD输出数据的高速度和信号微弱及含有噪声等特点,选用了高速、高精度、并带有相关双采样芯片的图像处理芯片AD9826作为模数转换器件;以FPGA及其内嵌的NIOSⅡ处理器作为核心控制器,并用LabVIEW对采集得到的数据进行显示。 在FPGA中,利用Verilog HDL语言编写了CCD和AD9826的控制时序;利用两块双口RAM组成乒乓操作单元,实现高速数据的缓存,避免利用NiosⅡ处理器直接读取时的频繁中断。将NIOSⅡ处理器系统嵌入到FPGA中,实现整个系统的管理。NiOSⅡ处理器利用中断方式读取缓存单元中的数据、经对数变换后传递给计算机。其中缓存数据的读取及对数变换均采用自定义组件的方式将硬件单元添加到NIOSⅡ系统中,编程时直接调用。NIOSⅡ系统通过串口将处理后的数据传递给LabVIEW, LabVIEW对数据简单处理后显示,以实时观察采样数据是否正确。 最后对系统进行了实验测试,实验结果表明,系统能够很好的采集并显示数据,能够初步完成光信号的检测。

    标签: FPGA 动态 光谱数据

    上传时间: 2013-04-24

    上传用户:luyanping

  • 基于FPGA的PCI总线图像采集卡的设计与实现.rar

    图像采集系统是数字图像信号处理过程中不可缺少的重要部分,它将前端相机所捕获的模拟信号转化为数字信号,或者直接从数字相机中获取数字信号,然后通过高速的计算机总线传回计算机,凭借计算机的强大的运算、数据存储与处理等操作能力,可以方便快捷地对信号进行分析处理,具有人机友好、功能灵活、可移植性强等优点。随着对数据传送速度要求的提高,PCI总线以其高的数据传输率,即插即用,低功耗等众多优点,得到广泛的应用。本文针对PCI总线接口电路使用的广泛性,介绍了PLX公司桥接芯片PCI9054主模式的工作原理和中断机制,采用可编程逻辑器件FPGA实现与PCI9054的本地接口的信号转换,给出了逻辑实现方案和仿真图。本文针对FPGA中各功能模块的逻辑设计进行了详细分析,并对每个模块都给出了精确的仿真结果。同时,文中还在其它章节详细介绍了系统的硬件电路设计、并行接口设计、PCI接口设计、PC端控制软件设计以及用于调试过程中的SignalTapⅡ嵌入式逻辑分析仪的使用方法,并且也对系统的仿真结果和测试结果给出了分析及讨论。最后还附上了系统的PCB版图、FPGA逻辑设计图、实物图及注释详细的相关源程序清单。在文章的软件设计部分介绍了WinDriver驱动开发工具,利用WinDriver工具,在WindowsXP系统下实现设备的驱动程序开发,完成主模式数据传输和设备中断的功能。

    标签: FPGA PCI 总线

    上传时间: 2013-06-09

    上传用户:kjl

  • 基于FPGA的PCI数据采集卡的研究与开发.rar

    随着信息技术和电子技术的进步和日益成熟,计算机数据采集技术得到了广泛应用。由于ISA数据采集卡的固有缺陷,PCI接口的数据采集卡将逐渐取代ISA数据采集卡,成为数据采集的主流。为了简化PCI数据采集卡结构,提高数据采集可靠性,本文研究并开发了一种基于FPGA的PCI结构的数据采集卡系统。 论文对PCI对目标设备数据采集卡实现的原理和方法进行了深入研究,设计了基于FPGA的PCI数据采集卡的硬件电路,通过在FPGA中嵌入了PCI目标设备的IP核与用户逻辑部分,构成了SOPC系统。使用Verilog硬件描述语言设计并实现了FPGA内部采集数据管理、数据管理寄存器和FIFO数据缓冲队列等模块电路。利用ModelSim对PCI系统进行了仿真。完成了系统硬件电路PCB板的设计,最终制作了PCI数据采集卡。 论文针对PCI结构的数据采集卡系统软件需求,研究了WDM设备驱动软件、Windows环境的简易虚拟示波器以及简易虚拟逻辑仪实现原理和方法。利用DriverStudio+Windows DDK for XP+VC6的软件平台,开发了WDM设备驱动程序。实现了Windows环境的简易虚拟示波器,和简易虚拟逻辑仪。系统测试结果表明该系统设计正确,系统运行稳定,功能和指标达到了设计要求。

    标签: FPGA PCI 数据采集卡

    上传时间: 2013-07-27

    上传用户:yzy6007

  • 应用FPGA的高速数据采集的设计与实现.rar

    随着计算机技术的突飞猛进以及移动通讯技术在日常生活中的不断深入,数据采集不断地向多路、高速、智能化的方向发展。本文针对此需求,实现了一种应用FPGA的多路、高速的数据采集系统,从而为测量仪器提供良好的采集数据。 本文设计了一种基于AD+FPGA+DSP的多路数据采集处理系统,针对此系统设计了基于AD9446的模数转换采集板,再将模数转换采集板的数据传送至基于FPGA的采集控制模块进行数据的压缩以及缓冲存储,最后由DSP调入数据进行数据的处理。本文的设计主要分为两部分,一部分为模数转换采集板的设计与调试,另一部分为采集控制模块的设计与仿真。 经设计与调试,模数转换模块可为系统提供稳定可靠的数据,能稳定工作在百兆的频率下;采集控制模块能实时地完成数据压缩与数据缓冲,并能通过时钟管理模块来控制前端AD的采样,该模块也能稳定工作在百兆的频率下。该系统为多路、高速的数据采集系统,并能稳定工作,从而能满足电子测量仪器的要求。关键词:数据采集;FPGA;AD9446

    标签: FPGA 高速数据 采集

    上传时间: 2013-06-03

    上传用户:zzy7826

  • 视频图像采集和预处理系统的FPGA实现.rar

    本文研究的视频处理系统是上海市科委技术攻关基金项目“计算机视觉及其芯片化实现”的一部分,主要完成计算机视觉系统的一些基本工作,即视频图像的采集、预处理和显示等。 视频图像采集和预处理系统以Xilinx公司Virtex-ⅡPro系列的FPGA为核心控制器件,结合视频模数转换芯片和VGA显示器,完成视频图像的实时采集、预处理和显示。采集和显示部分作为同外界交流信息的渠道,是构成计算机视觉系统必不可少的一部分;图像预处理则是计算机视觉系统进行高层处理的基础,优秀的预处理算法能有效改善图像质量,提高系统分析判断的准确性。 本文在介绍基于FPGA的视频采集、预处理系统整体架构的基础上,围绕以下四个方面展开了工作: 1.研究并给出了两种基于FPGA的设计方案用于实现YCrCb色度空间到RGB色度空间的转换; 2.针对采集的视频图像,根据VGA显示的要求,给出了一种实现图像去隔行的方案; 3.分析了一系列图像滤波的预处理算法,如均值滤波、中值滤波和自适应滤波等,在比较和总结各算法特点的基础上,提出了一种新的适用于处理混合噪声的滤波算法:混合自适应滤波法; 4.根据算法特点设计了多种采用FPGA实现的图像滤波算法,并对硬件算法进行RTL级的功能仿真和验证,还给出了各种滤波算法的实验结果,在此基础上对各种算法的效果进行直观的比较。 文中,预处理算法的实现充分利用了FPGA的片内资源,体现了FPGA在图像处理方面的特点及优势。同时,视频采集和显示的控制模块也由同一FPGA芯片实现,从而简化了系统整体结构。视频采集和预处理系统在FPGA上的成功实现为“计算机视觉及其芯片化实现”奠定了必要的基础、提供了一定理论依据。

    标签: FPGA 视频图像

    上传时间: 2013-07-25

    上传用户:alia

  • 基于FPGA与DDR2-SDRAM的高速实时数据采集系统的设计与实现.rar

    数据采集处理技术是现代信号处理的基础,广泛应用于雷达、声纳、软件无线电、瞬态信号测试等领域。随着信息科学的飞速发展,人们面临的信号处理任务越来越繁重,对数据采集处理系统的要求也越来越高。近年来FPGA由于其设计灵活性、更强的适应性及可重构性,结合SDRAM的高速、大容量、价格优势,在设计高速实时数据采集系统时受到了广泛的关注。 本课题重点研究了基于FPGA与DDR2-SDRAM的高速实时数据采集系统的设计与实现技术,为需要大容量存储器的系统设计提供了新的思路。在深入研究了DDR2-SDRAM器件的基本构造与工作原理的基础上,结合成熟的商业化IP核,提出了基于FPGA与DDR2-SDRAM的高速实时数据采集系统的设计方案,并从总体设计构想到各逻辑细节实现都进行了详细描述。根据DDR2-SDRAM的特点,选择合适的内存调度方案,采用Verilog HDL语言设计实现了该高速实时数据采集系统,并对系统功能进行验证与分析,结果表明本设计完全能够满足系统的性能指标。

    标签: SDRAM FPGA DDR

    上传时间: 2013-06-23

    上传用户:wangrong