虫虫首页|资源下载|资源专辑|精品软件
登录|注册

现场可编程门阵列

现代生物医学仪器正在向着微型化、多功能化、系列化等方向发展,系统中的数字部分越来越重要。现场可编程门阵列是一种新型可编程逻辑器件,性能优良,应用于生物医学工程领域,可显著降低数字系统的开发成本。
  • OFDM无线局域网关键技术的FPGA实现.rar

    无线局域网(WLAN)是未来移动通信系统的重要组成部分。由于摆脱了有线连接的束缚,无线局域网具有移动性好、成本低以及网络传输故障少等诸多优点,得到了越来越广泛的发展与应用。正交频分复用(OFDM)技术具有抗多径衰落,频谱利用率高等优点,特别适合于无线环境下的高速数据传输,是高速无线局域网的首选技术之一。从IEEE802.11a,IEEE802.11g到IEEE802.1n都是以OFDM为基础。随着OFDM技术的普及以及下一代通信技术对OFDM的青睐,研究与实现应用于无线局域网的OFDM关键技术具有一定的意义。 本文首先介绍了WLAN的基本概念及相关协议标准和OFDM系统的工作原理,并描述了基于IEEE802,11a和IEEE802.11n标准的OFDM系统的数据帧结构以及系统参数。文中对OFDM传输系统的关键算法进行了详细的研究。然后以Xilinx公司的ISE10.1为软件平台,利用VHDL描述的方式,并以FPGA(现场可编程门阵列)芯片SPARTAN-3E为硬件平台,研究实现了适用于IEEE802.11a和IEEE802.11n的64点16bits复数块浮点结构的FFT模块,(2,1,7)卷积编码和维特比译码模块,以及分组检测和符号定时模块,并进行了仿真、综合、下载验证等工作。

    标签: OFDM FPGA 无线局域网

    上传时间: 2013-06-25

    上传用户:cee16

  • 基于FPGA的对象存储控制器原型的硬件设计与实现.rar

    本文对基于FPGA的对象存储控制器原型的硬件设计进行了研究。主要内容如下: ⑴研究了对象存储控制器的硬件设计,使其高效完成对象级接口的智能化管理和复杂存储协议的解析,对对象存储系统整体性能提升有重要意义。基于SoPC(片上可编程系统)技术,在FPGA(现场可编程门阵列)上实现的对象存储控制器,具有功能配置灵活,调试方便,成本较低等优点。 ⑵采用Cyclone II器件实现的对象存储控制器的网络接口,包含处理器模块、内存模块、Flash模块等核心组成部分,提供千兆以太网的网络接口和PCI(周边元件扩展接口)总线的主机接口,还具备电源模块、时钟模块等以保证系统正常运行。在设计实现PCB(印制电路板)时,从叠层设计、布局、布线、阻抗匹配等多方面解决高达100MHz的全局时钟带来的信号完整性问题,并基于IBIS模型进行了信号完整性分析及仿真。针对各功能模块提出了相应的调试策略,并完成了部分模块的调试工作。 ⑶提出了基于Virtex-4的对象存储控制器系统设计方案,Virtex-4内嵌PowerPC高性能处理器,可更好地完成对象存储设备相关的控制和管理工作。实现了丰富的接口设计,包括千兆以太网、光纤通道、SATA(串行高级技术附件)等网络存储接口以及较PCI性能更优异的PCI-X(并连的PCI总线)主机接口;提供多种FPGA配置方式。使用Cadence公司的Capture CIS工具完成了该系统硬件的原理图绘制,通过了设计规则检查,生成了网表用作下一步设计工作的交付文件。

    标签: FPGA 对象存储 原型

    上传时间: 2013-04-24

    上传用户:lijinchuan

  • 基于FPGA数控精插补芯片的设计.rar

    本文着重研究用现场可编程门阵列(FPGA)来开发设计精插补芯片。选用Altera公司的Cyclone系列的EP1C3T144C8芯片设计了逐点比较法,数字积分法和比较积分法三种经典插补算法,并对各种算法模块进行了仿真验证。又设计了三个算法选通信号,将三种算法模块综合成了一个整电路。 在完成了FPGA内部三种算法的实现后,设计以一个STC单片机为粗插补处理器的FPGA实验开发系统,并制作了PCB板。实验开发系统板中设计了单片机程序下载和的FPGA下载配置电路,并且配有FPGA专用配置芯片,能实现FPGA上电自动配置。可用该实验系统板进行精插补芯片的设计与开发,以及对所完成设计的功能进行验证。 为验证所设计芯片的插补功能,编写了单片机粗插补程序,将产生的粗插补坐标增量发给FPGA进行插补实验,得到了理想的插补输出脉冲。又编写了单片机脉冲处理程序,读回了FPGA的输出脉冲,并由串口发送给PC机。最后通过编写PC机的串口通信程序以及根据插补脉冲绘图的程序,把FPGA的输出脉冲绘制成了插补轨迹图形。 最终绘图结果显示,在20M输入时钟频率下,由插补脉冲生成的插补轨迹图形正确,验证了本文设计的三种插补算法功能的正确性。本设计插补芯片达到了高速插补功能要求。

    标签: FPGA 数控 片的设计

    上传时间: 2013-04-24

    上传用户:zgu489

  • 基于FPGAHDL的随机读写I2C串行总线接口电路设计.rar

    I2C(Inter Integrated Circuits)是Philips公司开发的用于芯片之间连接的串行总线,以其严格的规范、卓越的性能、简便的操作和众多带I2C接口的外围器件而得到广泛的应用并受到普遍的欢迎。 现场可编程门阵列(FPGA)设计灵活、速度快,在数字专用集成电路的设计中得到了广泛的应用。本论文主要讨论了如何利用Verilog/FPGA来实现一个随机读/写的I2C接口电路,实现与外围I2C接口器件E2PROM进行数据通信,实现读、写等功能,传输速率实现为100KBps。在Modelsim6.0仿真软件环境中进行仿真,在Xilinx公司的ISE9.li开发平台上进行了下载,搭建外围电路,用Agilem逻辑分析仪进行数据采集,分析测试结果。 首先,介绍了微电子设计的发展概况以及设计流程,重点介绍了HDL/FPGA的设计流程。其次,对I2C串行总线进行了介绍,重点说明了总线上的数据传输格式并对所使用的AT24C02 E2PROM存储器的读/写时序作了介绍。第三,基于Verilog _HDL设计了随机读/写的I2C接口电路、测试模块和显示电路;接口电路由同步有限状态机(FSM)来实现;测试模块首先将数据写入到AT24C02的指定地址,接着将写入的数据读出,并将两个数据显示在外围LED数码管和发光二极管上,从而直观地比较写入和输出的数据的正确性。FPGA下载芯片为Xilinx SPARTAN Ⅲ XC3S200。第四,用Agilent逻辑分析仪进行传输数据的采集,分析数据传输的时序,从而验证电路设计的正确性。最后,论文对所取得的研究成果进行了总结,并展望了下一步的工作。

    标签: FPGAHDL I2C 随机

    上传时间: 2013-06-26

    上传用户:liuchee

  • G729A语音编解码算法研究及FPGA实现.rar

    语音编码技术始终是语音研究的热点。语音编码作为多媒体通信中信息传输的一个重要环节,越来越受到广泛的重视。G729是由美国、法国、日本和加拿大的几家著名国际电信实体联合开发的,国际电信联盟(ITU-T)于1995年11月正式通过了G729。96年ITU-T又制定了G729的简化方案G729A,主要降低了计算的复杂度以便于实时实现。因其具有良好的合成语音质量、适中的复杂度、较低的时延等优点,G729A标准已被广泛应用在VOIP网关、IP电话中。 论文利用Altera公司的新一代可编程逻辑器件在数字信号处理领域的优势,对G729A语音编码中的线性预测(LP)滤波器系数提取的FPGA(现场可编程门阵列,Field Programmable Gate Array)实现进行了深入研究。论文首先对语音信号处理及其发展进行介绍,深入讨论了G729A语音编解码技术。第二,对Altera公司的Stratix系列可编程器件的内部结构进行了研究,分析了在QuartusII开发平台上进行FPGA设计的流程。第三,基于FPGA,对G729A编码系统的LP分析部分做了具体设计,其中包括自相关函数和杜宾(Durbin)递推两个主要功能模块,并对其工作过程进行了详细的分析。第四,针对系统所使用的除法运算都是商小于1的特点,设计并实现了一个系统专用的除法器模块。最后,在Altera FPGA目标芯片EP1S30F780C7上,对LP分析系统进行了验证,证明了方案的可行性。

    标签: G729A FPGA 语音编解码

    上传时间: 2013-06-20

    上传用户:pwcsoft

  • 基于FPGA的DDS双通道波形发生器.rar

    直接数字频率合成(DDS)是七十年代初提出的一种新的频率合成技术,其数字结构满足了现代电子系统的许多要求,因而得到了迅速的发展。现场可编程门阵列器件(FPGA)的出现,改变了现代电子数字系统的设计方法,提供了一种全新的设计模式。本论文结合这两项技术,并利用单片机控制灵活的特点,开发了一种双通道波形发生器。在实现过程中,选用了Altera公司的EP1C6Q240C8芯片作为产生波形数据的主芯片,充分利用了该芯片的超大集成性和快速性。在控制芯片上选用ATMAL的AT89C51单片机作为控制芯片。本设计中,FPGA芯片的设计和与控制芯片的接口设计是一个难点,本文利用Altera的设计工具Quartus Ⅱ并结合Verilog-HDL语言,采用硬件编程的方法很好地解决了这一问题。 本文首先介绍了波形发生器的研究背景和DDS的理论。然后详尽地叙述了用EP1C6Q240C8完成DDS模块的设计过程,这是设计的基础。接着分析了整个设计中应处理的问题,根据设计原理就功能上进行了划分,将整个仪器功能划分为控制模块、外围硬件、FPGA器件三个部分来实现。然后就这三个部分分别详细地进行了阐述。并且通过系列实验,详细地分析了该波形发生器的功能、性能、实现和实验结果。最后,结合在设计中的一些心得体会,提出了本设计中的一些不足和改进意见。通过实验说明,本设计达到了预定的要求,并证明了采用软硬件结合,利用FPGA实现基于DDS架构的双路波形发生器是可行的。

    标签: FPGA DDS 双通道

    上传时间: 2013-06-08

    上传用户:wxhwjf

  • 基于FPGA的红外遥控电子密码锁的实现.rar

    本文介绍了一种基于现场可编程门阵列FPGA器件的电子密码锁的设计方法。重点阐述了红外遥控电子密码锁的整体架构设计;介绍了一种由PT2248作为发送器,MIM-R1AA 38KHZ红外一体化接收解调器作为接收器的红外遥控系统的构建方法;详细说明了如何运用EDA技术自顶向下的设计方法,来实现基于XILINX公司出品的Spartan-3E系列FPGA芯片的红外遥控解码、密码锁的解锁、密码修改、报警提示及液晶显示等功能。在分析红外遥控电子密码锁各功能模块时,本论文详细阐述了各模块的功能及外部接口信号,给出了各模块的仿真波形以及整个系统的测试流程和测试结果。本论文在介绍Spartan-3E系列FPGA芯片的特点和性能的同时,利用Spartan-3E系列的XC3S500芯片中的KCPSM3和自行设计完成的状态机控制器分别实现液晶显示控制器,通过比较分析得知KCPSM3实现的控制器,在对FPGA的资源利用方面更加合理,实现更加便捷。 本论文利用红外遥控技术解锁,大大提高了电子密码锁的安全性能;采用FPGA开发设计,所有算法完全由硬件电路来实现,使得系统的工作可靠性大为提高,同时由于FPGA具有在系统可编程功能,当设计需要更改时,只需更改FPGA中的控制和接口电路,利用EDA工具将更新后的设计下载到FPGA中即可,无需更改外部电路的设计,大大提高了设计的效率。因此,采用FPGA开发的数字系统,不仅具有很高的工作可靠性,其升级与改进也极其方便。

    标签: FPGA 红外遥控 电子密码锁

    上传时间: 2013-06-24

    上传用户:cy1109

  • 基于FPGA的快速傅立叶变换.rar

    随着数字电子技术的发展,数字信号处理广泛应用于声纳、雷达、通讯语音处理和图像处理等领域。快速傅立叶变换(Fast Fourier Transform,FFT)在数字信号处理系统中起着很重要的作用,FFT 有效地提高了离散傅立叶变换(Discret Fourier Transform,DFT)的运算效率。 处理器一般要求具有高速度、高精度、大容量和实时处理的性能,而现场可编程门阵列(Field Programmable Gate Array,FPGA)是近年来迅速发展起来的新型可编程器件,在处理大规模数据方面,有极大的优势。论文采用了在FPGA中实现FFT算法的方案。 数字信号处理板的硬件电路设计是本论文的重要部分之一。在介绍了FFT以及波束形成的基本原理和基本方法的基础上,根据实时处理的要求,给出了数字信号处理板的硬件设计方案并对硬件电路的实现进行了分析和说明。 依据数字系统的设计方法,分别采用基二按时间抽取FFT算法、基四按时间抽取FFT算法以及FFT兆核函数三种方法利用硬件描述语言(VHSICHardware Description Language,VHDL)实现了1024点的FFT,接着对三种方法进行了评估,得出了FPGA完全能满足处理器的实时处理的要求的结论。然后根据通用串行总线(Universial Serial Bus,USB)协议,利用VHDL语言编写了USB接口芯片ISP1581的固件程序,实现了设备的枚举过程。

    标签: FPGA 傅立叶变换

    上传时间: 2013-06-27

    上传用户:a937518043

  • 基于FPGA的信道化中频接收机设计与仿真实现研究.rar

    软件无线电(Software Radio)具有高度灵活性、开放性,很容易实现与现有和未来多种电台的兼容,能最大限度的满足了互联互通的要求。而基于多相滤波器组的信道化软件无线电接收技术以其固有的全概率接收、降采样速率以及其大幅提高运算速率的能力越来越受到重视。本文主要研究了基于现场可编程门阵列(FPGA)的软件无线电信道化中频接收技术设计与实现。 首先介绍了软件无线电的基本概念以及其发展状况,深入讨论了软件无线电的基本理论,主要介绍了设计中所用到的带通采样技术、信号的抽取技术与多相滤波技术。 然后简要介绍了信道化中频接收机的射频(Radio Frequency,RF)前端接收技术,设置宽中频超外差接收机射频前端的设计指标,给出了改进的实信号滤波器组低通型实现结构,并依此推导和建立了实信号多相滤波器组信道化中频接收机的数学模型。 最后基于EP1S80开发平台实现了实信号多相滤波器组信道化的中频接收机。给出了多相滤波器、抽取运算、FFT运算、信道划分以及复乘运算的设计方案。仿真结果表明,该接收机能够实现对中频信号的正确接收,验证了系统设计的可行性。

    标签: FPGA 信道 中频

    上传时间: 2013-05-23

    上传用户:wyaqy

  • FPGA芯片关键电路设计.rar

    现场可编程门阵列(FPGA)器件是能通过对其进行编程实现具有用户规定功能的电路,特别适合集成电路的新品开发和小批量ASIC电路的生产。近几年来,FPGA的发展非常迅速,但目前国内厂商所使用的FPGA芯片主要还是从国外进口,这种状况除了给生产厂家带来很大的成本压力以外,同时也影响到国家信息产业的保密和安全问题,因此在国内自主研发FPGA便成为一种必然的趋势。 基于上述现实状况及国内市场的巨大需求,中国电子科技集团公司第58研究所近年来对FPGA进行了专项研究,本论文正是作为58所专项的一部分研究工作的总结。本文深入研究了FPGA的相关设计技术,并进行了实际的FPGA器件设计,研究工作的重点是在华润上华(CSMC)0.5μm标准CMOS工艺基础上进行具有6000有效门的FPGA的电路设计与仿真。 论文首先阐述了可编程逻辑器件的基本结构,就可编程逻辑器件的发展过程及其器件分类,对可编程只读存储器、现场可编程逻辑阵列、可编程阵列逻辑、通用逻辑阵列和复杂PLD等的基本结构特点进行了讨论。接着讨论了FPGA的基本结构与分类及它的编程技术,另外还阐述了FPGA的集成度和速率等相关问题。并根据实际指标要求确定本文研究目标FPGA的基本结构和它的编程技术,在华润上华0.5μm标准CMOS工艺的基础上,进行一款FPGA芯片的设计研究工作。进行了可编程逻辑单元的基本结构的设计,并用CMOS逻辑和NMOS传输管逻辑实现了函数发生器、快速进位链和触发器的电路设计,并对其进行了仿真,达到了预期的目标。

    标签: FPGA 芯片 电路设计

    上传时间: 2013-08-01

    上传用户:baitouyu