虫虫首页|资源下载|资源专辑|精品软件
登录|注册

状态机

状态机由状态寄存器和组合逻辑电路构成,能够根据控制信号按照预先设定的状态进行状态转移,是协调相关信号动作、完成特定操作的控制中心。有限状态机简写为FSM(FiniteStateMachine),主要分为2大类:
  • 基于FPGA火车状态机的实现方法

    基于FPGA火车状态机的实现方法,详细见资料

    标签: FPGA 火车 实现方法 状态

    上传时间: 2013-10-09

    上传用户:行者Xin

  • 状态机在AD采样控制中的应用

    状态机在A_D采样控制中的应用

    标签: 状态 控制 中的应用 采样

    上传时间: 2013-10-16

    上传用户:liufei

  • 如何写好状态机

    描写状态机

    标签: 状态

    上传时间: 2013-10-18

    上传用户:qq527891923

  • 如何写好状态机

    如何写好状态机

    标签: 状态

    上传时间: 2013-10-30

    上传用户:YYRR

  • 状态机学习心得

      FSM 分两大类:米里型和摩尔型。   组成要素有输入(包括复位),状态(包括当前状态的操作),状态转移条件,状态的输出条件。   设计FSM 的方法和技巧多种多样,但是总结起来有两大类:第一种,将状态转移和状态的操作和判断等写到一个模块(process、block)中。另一种是将状态转移单独写成一个模块,将状态的操作和判断等写到另一个模块中(在Verilog 代码中,相当于使用两个“always” block)。其中较好的方式是后者。其原因   如下:   首先FSM 和其他设计一样,最好使用同步时序方式设计,好处不再累述。而状态机实现后,状态转移是用寄存器实现的,是同步时序部分。状态的转移条件的判断是通过组合逻辑判断实现的,之所以第二种比第一种编码方式合理,就在于第二种编码将同步时序和组合逻辑分别放到不同的程序块(process,block) 中实现。这样做的好处不仅仅是便于阅读、理解、维护,更重要的是利于综合器优化代码,利于用户添加合适的时序约束条件,利于布局布线器实现设计。显式的 FSM 描述方法可以描述任意的FSM(参考Verilog 第四版)P181 有限状态机的说明。两个 always 模块。其中一个是时序模块,一个为组合逻辑。时序模块设计与书上完全一致,表示状态转移,可分为同步与异步复位。

    标签: 状态

    上传时间: 2013-10-23

    上传用户:yupw24

  • 基于抽象状态机的网格系统设计和分析

    基于抽象状态机的网格系统设计和分析

    标签: 抽象 状态 网格

    上传时间: 2013-10-12

    上传用户:cazjing

  • 基于FPGA火车状态机的实现方法

    基于FPGA火车状态机的实现方法,详细见资料

    标签: FPGA 火车 实现方法 状态

    上传时间: 2013-10-20

    上传用户:hjkhjk

  • 有限状态机设计策略

    有限状态机设计策略

    标签: 有限状态机 策略

    上传时间: 2013-11-19

    上传用户:oojj

  • 状态机在AD采样控制中的应用

    状态机在A_D采样控制中的应用

    标签: 状态 控制 中的应用 采样

    上传时间: 2013-11-18

    上传用户:shinesyh

  • 如何写好状态机

    如何写好状态机

    标签: 状态

    上传时间: 2015-01-01

    上传用户:1159797854