虫虫首页|资源下载|资源专辑|精品软件
登录|注册

步计数器

  • 基于DSP与FPGA的两相混合式步进电机细分驱动的实现.rar

    在步进电机驱动方式中,效果最好的是细分驱动,当今高端的步进电机驱动器基本都采用这种技术。步进电机的细分驱动技术是一门综合了数字化技术、集成控制技术和计算机技术的新技术,被广泛应用于工业、科研、通讯、天文等领域。 本文设计了一种基于DSP以及FPGA的两相混合式步进电机SPWM(正弦脉宽调制)波细分驱动系统。在DSP系统中采用TMS320I.F2407A微控制器作为核心控制器件,用软件产生SPWM波;在FPGA系统中采用FPGA芯片,通过VerilogHDL语言,实现了SPWM波;在功率驱动级电路上采用双极性H桥的驱动方式。最终实现了对两相混合式步进电机SPWM波细分驱动,大大提高了步进电机的运转性能。 本文介绍了两相混合式步进电机的工作原理、控制原理以及细分驱动的基本原理。通过对恒转矩细分驱动的分析,提出了两相混合式步进电机SPWM波细分驱动的方案,并给出了SPWM波产生的数学模型。最后,对步进电机的SPWM波细分驱动系统进行了实验测量,给出了实验结果。 实验的结果表明,设计的基于DSP与FPGA的SPWM波细分驱动系统可以很好地克服电机低频振荡的问题,提高电机在中、低速运行的性能。电机的扫描范围与理论值基本接近;微步距在误差允许的范围内也基本可以满足要求。

    标签: FPGA DSP 步进电机

    上传时间: 2013-04-24

    上传用户:WANGLIANPO

  • 采用FPGA的步进电机控制系统研究.rar

    论文以反应式步进电机为研究对象,应用了先进的FPGA/CPLD技术,设计了一种全数字的步进电机控制系统,通过了仿真、综合和下载的各个程序测试环节,并在实验中得到了良好的应用。 本论文分析了反应式步进电机工作原理以及其具体的控制过程,然后阐述了FPGA的设计原理以及所涉及到的相关芯片,接着对所要应用的硬件语言VerilogHDL方面的知识进行了简要地介绍,这些为论文的具体设计部分提供了理论基础。 本系统针对需要实现对步进电机的调速,设计出了一种符合要求的连续可调的脉冲信号发生器,整个脉冲信号发生器有两个大的模块组成,最后用一个顶层的模块将二者连接起来,并且每个子模块以及顶层的模块都通过了仿真测试。系统采用了模块化的设计思路,为系统的设计和维护提供了方便,同时也提高了系统性能的可扩展性。系统采用一种软件硬化的设计思路,应用了VerilogHDL硬件语言,该语言较容易理解。软件也是采用了目前应用比较广泛的几种。在最后的实物实验中也取得了良好的效果,从而证明了设计的正确性。论文针对VerilogHDL硬件语言的应用技巧以及实际编写程序中经常遇到的问题都做了详细的解释,并提出了几个解决问题的方法;对于如何合理的选择芯片,文章也做了仔细说明。 FPGA+VerilogHDL+EDA工具构成的数字系统现场集成技术,是本系统设计的核心部分,该门技术具有操作灵活、利用广泛以及价廉等特点。该门技术具有旺盛的生命力和广阔的前景,必然推动着整个集成电路产业系统集成的进一步发展。整个系统设计采用了全数字化的控制方案,使系统更加紧凑、更加合理以及经济节约。由于系统的全数字化,使得整个系统运行变得十分可靠,调试也极为方便。作为一种先进技术的应用,论文在很多方面做了新的尝试。

    标签: FPGA 步进电机控制 系统研究

    上传时间: 2013-05-20

    上传用户:zoushuiqi

  • 基于FPGA的PCI接口运动控制卡的研究.rar

    运动控制技术是机电一体化的核心部分,提高运动控制技术水平对于提高我国的机电一体化技术具有至关重要的作用。运动控制技术的发展是制造自动化前进的旋律,是推动新的产业革命的关键技术。对于数控系统来说,最重要的是控制各个电机轴的运动,这是运动控制器接收并依照数控装置的指令来控制各个电机轴运动从而实现数控加工的,数据加工中的定位控制精度、速度调节的性能等重要指标都与运动控制器直接相关。目前对数控系统的研究都集中在插入PC的NC控制器的研究上,而其核心部分就是对步进、伺服电机进行控制的运动控制卡的研究。对PC-NC来说,运动控制卡的性能很大程度上决定了整个数控系统的性能,而微电子和数字信号处理技术的发展及其应用,使运动控制卡的性能得到了不断改进,集成度和可靠性大大提高。 本课题通过对运动控制技术的深入研究,并针对国内运动控制技术的研究起步较晚的现状,结合当前运动控制领域的具体需要,紧跟当前运动控制技术研究的发展趋势,吸收了数控技术和相关运动控制技术的最新成果,提出了基于PCI和FPGA的方案,研制了一款比较新颖的、功能强大的、具有很大柔性的四轴多功能运动控制卡。 本课题的具体研究主要有以下几方面: 首先,通过对运动控制卡及运动控制系统等行业现状的全面调研,和对运动控制技术的深入学习,在比较了几种常用的运动控制方案的基础上,提出了基于FPGA的运动控制设计方案,并规划了板卡的总体设计。 其次,根据总体设计,规划了板卡的结构,详细划分并实现了FPGA各部分的功能;利用光电隔离原理设计了数字输入/输出电路。 再次,利用FPGA的资源实现了PCI从设备接口,达到跟控制卡通信的目的,针对运动控制中的一些具体问题,如运动平稳性、实时控制以及多轴联动等,在FPGA上设计了四轴运动控制电路,定义了各个寄存器的具体功能,设计了功能齐全的加/减速控制电路、变频分配电路、倍频分频电路和三个功能各异的计数器电路等,自动降速点运动、A/B相编码器倍频计数电路等特殊功能。最后,进行了本运动控制卡的测试,从测试和应用结果来看,该卡达到预期的要求。

    标签: FPGA PCI 接口

    上传时间: 2013-07-27

    上传用户:zgu489

  • 基于FPGA实现数控步进电机多轴连动

    数控系统在工矿领域已得到广泛应用,计算机数控系统通过对数字化信息的处理和运算,并转化成脉冲信号,实现对步进电机的控制,进而控制数控机床动作和零件加工。随着嵌入式技术的发展,我们可以设计规模更小,成本更低,功能更特定的嵌入式系统来完成传统计算机数控系统所完成的工作。 步进电机以其精度高、控制灵活、定位准确、起停迅速、工作可靠、能直接接受数字信号的特点,成为数控系统中的重要执行部件。然而根据步进电机的特性,必须要采取适当而有效的升降速控制策略,特别是在多电机连动的系统中,对多个电机连动的速度控制和脉冲分配也很值得研究。在本文中作者将介绍一种三轴连动的速度控制和脉冲分配的优化算法,以及其在基于FPGA和ARM配合的高速数控雕刻机控制系统中的实现。 在本文中还可以看见,为了减小本系统中主控MCU的压力,作者还将利用FPGA来设计一个针对多电机连动的速度控制和脉冲分配优化算法的外围定制控制器。 最终实验结果表明,作者所提出的优化算法及其在本系统的实现方案,完全达到客户所提出的高速数控雕刻机控制系统的各项设计性能指标。

    标签: FPGA 数控 步进电机

    上传时间: 2013-07-02

    上传用户:dreamboy36

  • L298N直流电机步进电机两用驱动器

    L298N直流电机步进电机两用驱动器,L298N直流电机步进电机两用驱动器

    标签: L298N 直流电机 步进电机 驱动器

    上传时间: 2013-07-03

    上传用户:FreeSky

  • STC单片机控制5线四相24BYJ-48 5V DC 步进电机正反转驱动程序

    STC单片机控制5线四相24BYJ-48 5V DC 步进电机正反转驱动程序

    标签: STC BYJ 24 48

    上传时间: 2013-04-24

    上传用户:ruan2570406

  • 步进电机驱动器中mosfet的驱动设计

    本文介绍了在步进电机驱动器中利用IR2110S完成mosfet驱动的设计,并给出试验结果。关键词 步进电机;mosfet 驱动器

    标签: mosfet 步进电机 驱动器 驱动设计

    上传时间: 2013-08-01

    上传用户:lwx1888

  • 基于AT89C52单片机的步进电机控制系统设计

    提出了一个由AT89C52单片机控制步进电机的实例。可以通过键盘输入相关数据, 并根据需要, 实时对步进电机工作方式进行设置, 具有实时性和交互性的特点。该系统可应用于步进电机控制的大多数场合

    标签: 89C C52 AT 89

    上传时间: 2013-04-24

    上传用户:JGR2013

  • 基于PLC的四相步进电机控制方法及实现

    提出了一种基于PLC的四相步进电机控制的方法,介绍了控制系统的设计方案及其软硬件的实现方法。实现对四相步进电机的转速控制、正反转控制、以及步数控制。提出设计总体方案,详细阐述了驱动电路组成。方

    标签: PLC 步进电机 控制方法

    上传时间: 2013-04-24

    上传用户:dtvboyy

  • 步进电机选型stepguide

    讲述步进电机选型(stepguide)的资料,希望对大家有用

    标签: stepguide 步进电机 选型

    上传时间: 2013-04-24

    上传用户:mikesering