虫虫首页|资源下载|资源专辑|精品软件
登录|注册

时序逻辑电路

数字电路根据逻辑功能的不同特点,可以分成两大类,一类叫组合逻辑电路(简称组合电路),另一类叫做时序逻辑电路(简称时序电路)。组合逻辑电路在逻辑功能上的特点是任意时刻的输出仅仅取决于该时刻的输入,与电路原来的状态无关。而时序逻辑电路在逻辑功能上的特点是任意时刻的输出不仅取决于当时的输入信号,而且还取决于电路原来的状态,或者说,还与以前的输入有关。
  • MCS-51单片机与FPGA接口的逻辑设计.rar

    本文设计了MCS-51单片机与FPGA的总线接口逻辑电路,实现了单片机与FPGA数据与控制信息的可靠通信,使FP—GA与单片机优势互补,组成灵活的、软硬件都可现场编程的控制系统。

    标签: FPGA MCS 51

    上传时间: 2013-07-26

    上传用户:sxdtlqqjl

  • 基于FPGA的浮点运算器设计.rar

    随着电子工业应用领域需求的增长,要实现复杂程度较高的数字电子系统,对数据处理能力提出越来越高的要求。定点运算已经很难满足高性能数字系统的需要,而浮点数相对于定点数,具有表述范围宽,有效精度高等优点,在航空航天、遥感、机器人技术以及涉及指数运算和信号处理等领域有着广泛的应用。对浮点运算的要求主要体现在两个方面:一是速度,即如何快速有效的完成浮点运算;二是精度,即浮点运算能够提供多少位的有效数字。 计算机性价比的提高以及可编程逻辑器件的出现,对传统的数字电子系统设计方法进行了变革。FPGA(Field Programmable Gate Array,现场可编程门阵列)让设计师通过设计芯片来实现电子系统的功能,将传统的固件选用及电路板设计工作放在芯片设计中进行。FPGA可以完成极其复杂的时序与组合逻辑电路功能,适用于高速、高密度,如运算器、数字滤波器、二维卷积器等具有复杂算法的逻辑单元和信号处理单元的逻辑设计领域。 鉴于FPGA技术的特点和浮点运算的广泛应用,本文基于FPGA将浮点运算结合实际应用设计一个触摸式浮点计算器,主要目的是通过VHDL语言编程来实现浮点数的加减、乘除和开方等基本运算功能。 (1)给出系统的整体框架设计和各模块的实现,包括芯片的选择、各模块之间的时序以及控制、每个运算模块详细的工作原理和算法设计流程; (2)通过VHDL语言编程来实现浮点数的加减、乘除和开方等基本运算功能; (3)在Xilinx ISE环境下,对系统的主要模块进行开发设计及功能仿真,验证了基于FPGA的浮点运算。

    标签: FPGA 浮点运算器

    上传时间: 2013-04-24

    上传用户:咔乐坞

  • 基于FPGA的MCS51核的VHDL语言设计与实现.rar

    本文以研究嵌入式微处理器为主,自主地设计了能够运行MCS-51系列单片机指令的MCU系统。系统采用了VHDL 语言与原理框图的综合设计方法,并且在Altera公司的FPGA上通过验证。论文深入地研究了微处理器的指令系统和数据地址通路,采用VHDL 语言完成了取指单元,指令译码器单元,存储器单元和逻辑运算单元的电路模块的设计与实现;研究了控制单元的实现方法和基于全局状态机的设计理论,采用硬件描述语言完成了对各个控制线的相关设计与实现。论文通过原理示意图和示例代码的演示,着重介绍了指令译码器的实现方式,基于此种方式形成的译码电路还能够实现更为复杂的CISC指令。 本系统采用分模块的设计方式,把具有相同功能的逻辑电路集中到一个框图里,使得系统的可移植性大大地提高。系统还采用层次框图的设计方式,把明显地具有主从关系的电路放在不同的层次里,这也使得系统模块功能的可扩展性大大地增强。内部逻辑共分为数据存储器模块;程序存储器模块;时序控制模块;特殊功能寄存器模块和Core核心模块这五个部分,文中对各个模块的设计作了详细的介绍。本文在最后对已实现的部分典型指令进行了逻辑仿真测试,测试结果表明,本文所设计的MCU系统能够如预期地执行相应的指令。在指令执行的过程中,相应寄存器和总线上的值也均符合设计要求,实现了设计目标。

    标签: FPGA VHDL MCS

    上传时间: 2013-05-20

    上传用户:2525775

  • EDA软件在电路设计中的应用

    EDA软件在电路设计中的应用 摘要: 在EDA软件的基础上, 介绍了仿真功能在数字逻辑电路设计中的应用, 佐证了由传统实验教学向现代化创新性教学

    标签: EDA 软件 电路设计 中的应用

    上传时间: 2013-04-24

    上传用户:zhqzal1014

  • 保密通信中RS编解码的FPGA实现

    由于信道中存在干扰,数字信号在信道中传输的过程中会产生误码.为了提高通信质量,保证通信的正确性和可靠性,通常采用差错控制的方法来纠正传输过程中的错误.本文的目的就是研究如何通过差错控制的方法以提高通信质量,保证传输的正确性和可靠性.重点研究一种信道编解码的算法和逻辑电路的实现方法,并在硬件上验证,利用码流传输的测试方法,对设计进行测试.在以上的研究基础之上,横向扩展和课题相关问题的研究,包括FPGA实现和高速硬件电路设计等方面的研究. 纠错码技术是一种通过增加一定的冗余信息来提高信息传输可靠性的有效方法.RS码是一种典型的纠错码,在线性分组码中,它具有最强的纠错能力,既能纠正随机错误,也能纠正突发错误.在深空通信,移动通信以及数字视频广播等系统中具有广泛的应用,随着RS编码和解码算法的改进和相关的硬件实现技术的发展,RS码在实际中的应用也将更加广泛. 在研究中,对所研究的问题进行分解,集中精力研究课题中的重点和难点,在各个模块成功实现的基础上,成功的进行系统组合,协调各个模块稳定的工作. 在本文中的EDA设计中,使用了自顶向下的设计方法,编解码算法每一个子模块分开进行设计,最后在顶层进行元件例化,正确实现了编码和解码的功能. 本文首先介绍相关的数字通信背景;接着提出纠错码的设计方案,介绍RS(31,15)码的编译码算法和逻辑电路的实现方法,RTL代码编写和逻辑仿真以及时序仿真,并讨论了FPGA设计的一般性准则以及高速数字电路设计的一些常用方法和注意事项;最后设计基于FPGA的硬件电路平台,并利用静态和动态的方法对编解码算法进行测试. 通过对编码和解码算法的充分理解,本人使用Verilog HDL语言对算法进行了RTL描述,在Altera公司Cyclone系列FPGA平台上面实现了编码和解码算法. 其中,编码的最高工作频率达到158MHz,解码的最高工作频率达到91MHz.在进行硬件调试的时候,整个系统工作在30MHz的时钟频率下,通过了硬件上的静态测试和动态测试,并能够正确实现预期的纠错功能.

    标签: FPGA 保密通信 RS编解码

    上传时间: 2013-06-30

    上传用户:liaofamous

  • 基于FPGA和PCI总线的WCDMA信号采集卡的研制

      本论文利用FPGA可编程逻辑器件和硬件描述语言Verilog,采用自顶向下的设计方法,开发了一款基于PCI总线的高速数据采集卡。本数据采集系统中,采用PLX公司生产的PLX9080作为PCI总线接口芯片。用4片每片容量为8MB的SDRAM作为数据采集的前端和PCI总线的数据缓冲。用ALTERA公司生产的Cyclone系列FPGA实现PCI接口芯片PLX9080的时序逻辑、对数据采集通道的前端控制以及对SDRAM的读写控制。  在本论文将重点放在了用硬件描述语言Verilog进行FPGA硬件逻辑编程上。本论文按照自顶向下的设计方法,详细论述了PCI接口转化电路模块、SDRAM存储片子读写控制电路模块、FPGA内部寄存器读写控制电路模块以及用于RF端的自动增益控制电路AGC模块的设计。  

    标签: WCDMA FPGA PCI 总线

    上传时间: 2013-04-24

    上传用户:yhm_all

  • (2,1,9)软判决Viterbi译码器的设计与FPGA实现

    卷积码是无线通信系统中广泛使用的一种信道编码方式。Viterbi译码算法是一种卷积码的最大似然译码算法,它具有译码效率高、速度快等特点,被认为是卷积码的最佳译码算法。本文的主要内容是在FPGA上实现约束长度为9,码率为1/2,采用软判决方式的Viterbi译码器。 本文首先介绍了卷积码的基本概念,阐述了Viterbi算法的原理,重点讨论了决定Viterbi算法复杂度和译码性能的关键因素,在此基础上设计了采用“串-并”结合运算方式的Viterbi译码器,并在Altera EP1C20 FPGA芯片上测试通过。本文的主要工作如下: 1.对输入数据采用了二比特四电平量化的软判决方式,对欧氏距离的计算方法进行了简化,以便于用硬件电路方式实现。 2.对ACS运算单元采用了“串-并”结合的运算方式,和全并行的设计相比,在满足译码速度的同时,节约了芯片资源。本文中提出了一种路径度量值存储器的组织方式,简化了控制模块的逻辑电路,优化了系统的时序。 3.在幸存路径的选择输出上采用了回溯译码方法,与传统的寄存器交换法相比,减少了寄存器的使用,大大降低了功耗和设计的复杂度。 4.本文中设计了一个仿真平台,采用Modelsim仿真器对设计进行了功能仿真,结果完全正确。同时提出了一种在被测设计内部插入监视器的调试方法,巧妙地利用了Matlab算法仿真程序的输出结果,提高了追踪错误的效率。 5.该设计在Altera EP1C20 FPGA芯片上通过测试,最大运行时钟频率110MHz,最大译码输出速率10.3Mbps。 本文对译码器的综合结果和Altera设计的Viterbi译码器IP核进行了性能比较,比较结果证明本文中设计的Viterbi译码器具有很高的工程实用价值。

    标签: Viterbi FPGA 软判决 译码器

    上传时间: 2013-07-23

    上传用户:叶山豪

  • 可重构FPGA通讯纠错进化电路及其实现

    ASIC对产品成本和灵活性有一定的要求.基于MCU方式的ASIC具有较高的灵活性和较低的成本,然而抗干扰性和可靠性相对较低,运算速度也受到限制.常规ASIC的硬件具有速度优势和较高的可靠性及抗干扰能力,然而不是灵活性较差,就是成本较高.与传统硬件(CHW)相比,具有一定可配置特性的场可编程门阵列(FPGA)的出现,使建立在可再配置硬件基础上的进化硬件(EHW)成为智能硬件电路设计的一种新方法.作为进化算法和可编程器件技术相结合的产物,可重构FPGA的研究属于EHW的研究范畴,是研究EHW的一种具体的实现方法.论文认为面向分类的专用类可重构FPGA(ASR-FPGA)的研究,可使可重构电路粒度划分的针对性更强、设计更易实现.论文研究的可重构FPGA的BCH通讯纠错码进化电路是一类ASR-FPGA电路的具体方法,具有一定的实用价值.论文所做的工作主要包括:(1)BCH编译码电路的设计——求取实验用BCH码的生成多项式和校验多项式及其相应的矩阵并构造实验用BCH码;(2)建立基于可重构FPGA的基核——构造具有可重构特性的硬件功能单元,以此作为可重构BCH码电路的设计基础;(3)构造实现可重构BCH纠错码电路的方法——建立可重构纠错码硬件电路算法并进行实验验证;(4)在可重构纠错码电路基础上,构造进化硬件控制功能块的结构,完成各进化RLA控制模块的验证和实现.课题是将可重构BCH码的编译码电路的实现作为一类ASR-FPGA的研究目标,主要成果是根据可编程逻辑电路的特点,选择一种可编程树的电路模型,并将它作为可重构FPGA电路的基核T;通过对循环BCH纠错码的构造原理和电路结构的研究,将基核模型扩展为能满足纠错码电路需要的纠错码基本功能单元T;以T作为再划分的基本单元,对FPGA进行"格式化",使T规则排列在FPGA上,通过对T的控制端的不同配置来实现纠错码的各个功能单元;在可重构基核的基础上提出了纠错码重构电路的嵌套式GA理论模型,将嵌套式GA的染色体串作为进化硬件描述语言,通过转换为相应的VHDL语言描述以实现硬件电路;采用RLA模型的有限状态机FSM方式实现了可重构纠错码电路的EHW的各个控制功能块.在实验方面,利用Xilinx FPGA开发系统中的VHDL语言和电路图相结合的设计方法建立了循环纠错码基核单元的可重构模型,进行循环纠错BCH码的电路和功能仿真,在Xilinx公司的Virtex600E芯片进行了FPGA实现.课题在研究模型上选取的是比较基本的BCH纠错码电路,立足于解决基于可重构FPGA核的设计的基本问题.课题的研究成果及其总结的一套ASR-FPGA进化硬件电路的设计方法对实际的进化硬件设计具有一定的实际指导意义,提出的基于专用类基核FPGA电路结构的研究方法为新型进化硬件的器件结构的设计也可提供一种借鉴.

    标签: FPGA 可重构 通讯 纠错

    上传时间: 2013-06-30

    上传用户:myworkpost

  • 基于ARM的图像采集系统的设计

    近年来,随着计算机和通信技术的飞速发展,特别是网络的迅速普及和3C(计算机、通信、消费电子)合一的加速,微型化和专业化成为发展的新趋势,嵌入式产品已经成为了信息产业的主流,嵌入式系统技术也成为目前电子产品设计领域最为热门的技术之一,目前已经广泛地应用于军事国防、消费电子、网络通信、工业控制等各个领域。本文在研究视频采集发展现状和趋势的基础上,设计了一种基于32位处理器的嵌入式图像采集和传输系统。此套硬件系统可应用于LCD显示屏、桌面视频、多媒体、数字电视机、图像处理、可视电话和远程户外图像采集等领域。 该图像采集系统在硬件系统上以ARM芯片S3C44BOX为核心,利用CMOS图像传感器采集图像;以FIFO帧存储器暂存图像数据,解决了ARM芯片与图像传感器之间速率的不同步问题;并充分利用了FPGA/CPLD高性能、低功耗、低成本的优点,用CPID器件控制整个图像采集的时序逻辑。在软件平台移植了嵌入式操作系统’uClinux,并在此基础上开发了底层的驱动程序和应用程序。体积小巧,具备图像采集、显示和远程传输功能和良好的可扩展性。 全文共分为五个章节,第一章主要介绍了论文的课题背景和图像采集技术的发展现状,介绍了论文的研究目标和研究内容。第二章从硬件和软件两方面阐述了嵌入式图像采集系统的总体设计方案,详细介绍了硬件开发平台嵌入式系统和软件开发平台嵌入式操作系统各自的定义和特点。第三章主要介绍基于ARM的图像采集系统硬件设计方面的内容,包括各个模块的具体实现方案、系统硬件性能分析和硬件电路的抗干扰设计等。第四章研究了基于uClinux平台的几个主要模块的软件设计,主要包括图像传感芯片的初始化和采集程序的实现、LCD控制器的初始化和图像显示程序的实现、以太网控制器的初始化和图像数据传输程序的实现。第五章是对全文的一个总结,概括了作者所做的工作,提出所存在的不足并对后续的研究工作做了进一步的展望。

    标签: ARM 图像采集系统

    上传时间: 2013-04-24

    上传用户:wangxuan

  • 基于FPGA的光接收机数据恢复电路

    随着信息产业的不断发展,人们对数据传输速率要求越来越高,从而对数据发送端和接收端的性能都提出了更高的要求。接收机的一个重要任务就是在于克服各种非理想因素的干扰下,从接收到的被噪声污染的数据信号中提取同步信息,并进而将数据正确的恢复出来。而数据恢复电路是光纤通信和其他许多类似数字通信领域中不可或缺的关键电路,其性能决定了接收端的总体性能。 目前,数据恢复电路的结构主要有“时钟提取”和“过采样”两种结构。基于“过采样”的数据恢复方法的关键是过采样,即通过引入参考时钟,并增加时钟源个数的方式来代替第一种方法中的“时钟提取”。与“时钟提取”的数据恢复方法相比,基于“过采样”的数据恢复方法在性能上还有较大的差距,但是后者拥有高带宽、立即锁存能力、较低的等待时间和更高的抖动容限,更易于通过数字的方法实现,实现更简单,成本更低,并且这是一种数字化的模拟技术。如果能通过“过采样”方法在普通的逻辑电路上实现622.08Mb/s甚至更高速率的数据恢复,并将它作为一个IP模块来代替专用的时钟恢复芯片,这无疑将是性能和成本的较好结合。 本文主要研究“过采样”数据恢复电路的基本原理,通过全数字的设计方法,给出了在低成本可编程器件FPGA上实现数据恢复电路两种不同的过采样的实现方案,即基于时钟延迟的过采样和基于数据延迟的过采样。基于时钟延迟的过采样数据恢复电路方案,通过测试验证,其最高恢复的数据传输率可达到640Mb/s。测试结果表明,采用该方案实现的时钟恢复电路可工作在光纤通信系统STM-4速率级,即622.08MHz频率上,各方面指标基本符合要求。

    标签: FPGA 光接收机 数据恢复 电路

    上传时间: 2013-04-24

    上传用户:axxsa