虫虫首页|资源下载|资源专辑|精品软件
登录|注册

数字电路逻辑设计

  • CPLD数字电路设计--使用MAX+plusⅡ入门篇-555页-21.1M.rar

    专辑类----可编程逻辑器件相关专辑 CPLD数字电路设计--使用MAX+plusⅡ入门篇-555页-21.1M.rar

    标签: CPLD 21.1 plus 555

    上传时间: 2013-06-19

    上传用户:kennyplds

  • VHDL与数字电路设计-492页-7.0M.rar

    专辑类----可编程逻辑器件相关专辑 VHDL与数字电路设计-492页-7.0M.rar

    标签: VHDL 492 7.0

    上传时间: 2013-08-02

    上传用户:打算打算

  • VHDL-与数字电路设计-492页-7.0M-PDF版.rar

    专辑类----可编程逻辑器件相关专辑 VHDL-与数字电路设计-492页-7.0M-PDF版.rar

    标签: M-PDF VHDL 492 7.0

    上传时间: 2013-04-24

    上传用户:姚燚666

  • pld与cpld数字电路设计——使用machxl-229页-3.3M.rar

    专辑类----可编程逻辑器件相关专辑 pld与cpld数字电路设计——使用machxl-229页-3.3M.rar

    标签: machxl cpld pld 229

    上传时间: 2013-07-22

    上传用户:水口鸿胜电器

  • CPLD数字电路设计-使用MAX+plusⅡ入门篇-555页-21.1M.pdf

    专辑类-可编程逻辑器件相关专辑-96册-1.77G CPLD数字电路设计-使用MAX+plusⅡ入门篇-555页-21.1M.pdf

    标签: CPLD 21.1 plus 555

    上传时间: 2013-07-01

    上传用户:zhouli

  • pld与cpld数字电路设计——使用machxl-229页-3.3M.pdf

    专辑类-可编程逻辑器件相关专辑-96册-1.77G pld与cpld数字电路设计——使用machxl-229页-3.3M.pdf

    标签: machxl cpld pld 229

    上传时间: 2013-05-18

    上传用户:WANGLIANPO

  • VHDL与数字电路设计-492页-7.0M.pdf

    专辑类-可编程逻辑器件相关专辑-96册-1.77G VHDL与数字电路设计-492页-7.0M.pdf

    标签: VHDL 492 7.0

    上传时间: 2013-04-24

    上传用户:xuan‘nian

  • 通用阵列逻辑GAL实现基本门电路的设计

    通用阵列逻辑GAL实现基本门电路的设计 一、实验目的 1.了解GAL22V10的结构及其应用; 2.掌握GAL器件的设计原则和一般格式; 3.学会使用VHDL语言进行可编程逻辑器件的逻辑设计; 4.掌握通用阵列逻辑GAL的编程、下载、验证功能的全部过程。 二、实验原理 1. 通用阵列逻辑GAL22V10 通用阵列逻辑GAL是由可编程的与阵列、固定(不可编程)的或阵列和输出逻辑宏单元(OLMC)三部分构成。GAL芯片必须借助GAL的开发软件和硬件,对其编程写入后,才能使GAL芯片具有预期的逻辑功能。GAL22V10有10个I/O口、12个输入口、10个寄存器单元,最高频率为超过100MHz。 ispGAL22V10器件就是把流行的GAL22V10与ISP技术结合起来,在功能和结构上与GAL22V10完全相同,并沿用了GAL22V10器件的标准28脚PLCC封装。ispGAl22V10的传输时延低于7.5ns,系统速度高达100MHz以上,因而非常适用于高速图形处理和高速总线管理。由于它每个输出单元平均能够容纳12个乘积项,最多的单元可达16个乘积项,因而更为适用大型状态机、状态控制及数据处理、通讯工程、测量仪器等领域。ispGAL22V10的功能框图及引脚图分别见图1-1和1-2所示。 另外,采用ispGAL22V10来实现诸如地址译码器之类的基本逻辑功能是非常容易的。为实现在系统编程,每片ispGAL22V10需要有四个在系统编程引脚,它们是串行数据输入(SDI),方式选择(MODE)、串行输出(SDO)和串行时钟(SCLK)。这四个ISP控制信号巧妙地利用28脚PLCC封装GAL22V10的四个空脚,从而使得两种器件的引脚相互兼容。在系统编程电源为+5V,无需外接编程高压。每片ispGAL22V10可以保证一万次在系统编程。 ispGAL22V10的内部结构图如图1-3所示。 2.编译、下载源文件 用VHDL语言编写的源程序,是不能直接对芯片编程下载的,必须经过计算机软件对其进行编译,综合等最终形成PLD器件的熔断丝文件(通常叫做JEDEC文件,简称为JED文件)。通过相应的软件及编程电缆再将JED数据文件写入到GAL芯片,这样GAL芯片就具有用户所需要的逻辑功能。  3.工具软件ispLEVER简介 ispLEVER 是Lattice 公司新推出的一套EDA软件。设计输入可采用原理图、硬件描述语言、混合输入三种方式。能对所设计的数字电子系统进行功能仿真和时序仿真。编译器是此软件的核心,能进行逻辑优化,将逻辑映射到器件中去,自动完成布局与布线并生成编程所需要的熔丝图文件。软件中的Constraints Editor工具允许经由一个图形用户接口选择I/O设置和引脚分配。软件包含Synolicity公司的“Synplify”综合工具和Lattice的ispVM器件编程工具,ispLEVER软件提供给开发者一个简单而有力的工具。

    标签: GAL 阵列 逻辑 门电路

    上传时间: 2013-11-16

    上传用户:看到了没有

  • 中南大学数字电子技术课程设计--数字钟的设计 一.设计目的 1. 进一步掌握各芯片的逻辑功能及使用方法。 2. 进一步掌握数字钟的设计方法和和计数器相互级联的方法。 3. 进一步掌握数字系统的

    中南大学数字电子技术课程设计--数字钟的设计 一.设计目的 1. 进一步掌握各芯片的逻辑功能及使用方法。 2. 进一步掌握数字钟的设计方法和和计数器相互级联的方法。 3. 进一步掌握数字系统的设计和数字系统功能的测试方法。 4. 进一步掌握数字系统的制作和布线方法。 二.设计要求 1.设计指标  数字钟具有显示时、分、秒的功能;  有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;  计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时,报时声音四低一高;  并且要求走时准确。 2.设计要求  画出电路原理图(或仿真电路图);  元器件及参数选择,有相关原器件清单; 3.制作要求 自行装配和调试,并能发现问题和解决问题。 4.编写设计报告 写出设计与制作的全过程,附上有关资料和图纸,有心得体会。

    标签: 数字 大学 数字电子技术 芯片

    上传时间: 2013-12-25

    上传用户:netwolf

  • 《VDHL硬件描述语言与数字逻辑》 ——————电子工程师必备知识 西安电子科技大学出版社出版 第一章 数字系统硬件设计概述 第二章 VHDL语言程序的基本结构 第三章 VHDL语言的数据类

    《VDHL硬件描述语言与数字逻辑》 ——————电子工程师必备知识 西安电子科技大学出版社出版 第一章 数字系统硬件设计概述 第二章 VHDL语言程序的基本结构 第三章 VHDL语言的数据类型及运算操作符 第四章 VHDL语言构造体的描述方式 第五章 VHDL语言的主要描述语言 第六章 数值系统的状态模型 第七章 基本逻辑电路设计 第八章 仿真与逻辑综合 第九章 计时电路设计实例 第十章 微处理器接口芯片设计实例 第十一章 93版和87版VHDL语言的主要区别 第十二章 MAX+plusII使用说明

    标签: VHDL VDHL 硬件描述语言 数字逻辑

    上传时间: 2013-12-30

    上传用户:皇族传媒