虫虫首页|资源下载|资源专辑|精品软件
登录|注册

控制设计

  • 基于DSP的无刷直流电机控制系统的设计与研究.rar

    由于永磁无刷直流电机既具备交流电机结构简单、运行可靠、维护方便等一系列优点,又兼有普通有刷直流电机调速特性好、运行效率高的优点,因此它在当今国民经济各个领域得到了越来越广泛的应用。本文对基于DSP的无刷直流电机控制系统进行了设计和研究。 本论文首先回顾了无刷直流电机的产生、发展历程,介绍了目前的热点研究方向和最新研究成果。 第二章对无刷直流电机的组成环节、结构、工作原理、运行特性进行了分析,并且建立了无刷直流电机的数学模型,对其控制方法进行了讨论。同时,DSP控制器由于其高速的处理能力和丰富的片上资源,已经广泛的应用于电机控制领域。 第三章介绍了TI的高性能DSP芯片 TMS320LF2407A的结构和性能,提出了基于 TMS320LF2407A 的 BLDCM 的控制方案,并且对系统的相关环节进行了讨论和分析。 第四、五两章分别完成了硬件和软件的设计。此系统是基于PWM技术和PID算法的双闭环控制系统。硬件电路包括了控制电路、主电路、检测电路、保护电路几个部分;软件采用模块化的编程思想,编制了各程序模块的控制流程图,并论述了其实现方面的若干问题。 第六章给出了系统的仿真实验结果及分析。 第七章对全文内容进行了总结,并对无刷直流电机控制系统提出了展望。

    标签: DSP 无刷直流电机 控制系统

    上传时间: 2013-04-24

    上传用户:xiaoxiang

  • 基于DSP控制的高频开关电源PFC研究与设计.rar

    开关电源具有体积小、重量轻、效率高、发热量低、性能稳定等优点,广泛应用于电子整机与设备中,在以往的AC-DC电路中,由二极管组成的不可控整流器与电力网相接,为在电网中会产生大量的电流谐波和无功功率而污染电网,使得功率因数较低。为了提高AC-DC电路输入端的功率因数,采用了功率因数校正。 本文采用TMS320F2812实现开关电源的功率因数校正,分析了DSP实现功率因数校正的控制方法和具体实现,对于软件中参数的标么值实现进行了理论推导,为了使输出功率在输入电压变化的一定范围内保持不变,采用了前馈电压,对于数字PI调节环采用了抑制积分饱和的方法,以防止系统失控。 论文中通过对AC-DC整流电路和加入Boost功率因数校正后的电路进行了Matlab的仿真,通过输入电压和输入电流波形的比较,可以很容易地看到功率因数的提高。 在具体的电路实现中,采用霍尔元件检测输入电感电流、输入电压和输出电压,经过DSP的A/D采样后,在DSP内部经过程序计算,输出PWM波形驱动MOSFET的开通与关断,使输入电感电流波形与输入电压波形一致。 本文实现了系统仿真,给出了仿真波形,分析了硬件设计电路并完成了电路的局部仿真,软件编程方面给出了主程序和各个子程序的软件流程图,提出了以后研究的方向。

    标签: DSP PFC 控制

    上传时间: 2013-06-17

    上传用户:baobao9437

  • 基于DSP的中压变频器控制软件的设计.rar

    本论文针对6kV/400kW三相异步电动机的中压变频器试验装置,从分析目前中压变频器常用的主回路拓扑入手,详细阐述并分析了本文研究的单元串联型中压变频器控制系统。 本文首先从理论上分析了多单元串联型中压变频器脉宽控制原理。然后,把一种高性能的V/f控制方案引入中压变频器控制系统。通过矢量补偿定子压降,进行转差补偿和对电机电流进行限制控制,实现了具有很好的低频性能并具有防“跳闸”等功能的V/f控制方案。 同时,本文将Siemens公司通用变频器的时隙、连接纸的概念运用到中压变频器控制领域。增加了系统的可变性,自由性和方便性。设计了具有系统组态功能的模块化软件,其中着重对控制软件中的几个重要功能进行了分析讨论。这些重要功能模块有:控制字和状态字、顺序控制、V/f曲线、给定积分器、基于电压补偿的输出自动稳压算法、通讯功能等。 中压变频器在实验室设计为6kV/22kW试验系统,实际设计为6kV/400kW的变频系统装置。本文给出了实验室调试结果及分析。实验结果表明,该中压变频器能够安全、稳定地运行。

    标签: DSP 中压变频器 控制软件

    上传时间: 2013-04-24

    上传用户:mingaili888

  • [毕业设计]基于51单片机的水箱控制系统.rar

    基于51单片机的水箱控制系统 完整的论文 对毕业设计及开发工作有很好的参考价值

    标签: 毕业设计 51单片机 控制系统

    上传时间: 2013-06-05

    上传用户:dang2959809956

  • 基于FPGA的烤烟自动控制系统的研究与设计.rar

    烟叶烘烤是烟叶生产中一个非常重要的环节,为保证烟叶烘烤的质量,需要有效的控制温度和湿度让其按照“三段式”工艺曲线进行变化。本文通过对三段式工艺的分析,构建了以FPGA为控制核心,采用数字式温湿度传感器进行温湿度测量的烤烟自动控制系统。 整个系统的实现是基于CYCLONEⅡ系列的FPGA器件EP2C8Q208C8进行的。同时对系统的配置电路、驱动电路、显示控制电路、语音提示和温湿度测量电路进行了设计,并给出了各个模块的电路原理图。由于温湿度测量是系统设计实现的重要部分,所以本文重点讨论了温度传感器DS18820和湿度传感器HS1101的性能特点、工作原理、处理次序和设计流程。针对烟叶烘烤过程中烤房温湿度的测量和控制中,存在的强时变、大时滞、非线性的问题,采用了模糊控制算法进行控制,并给出了模糊控制器设计的方法。另外,为方便用户调用烟叶烘烤中经验曲线,提出了使用EEPROM对烘烤经验曲线参数进行处理。而且讨论了如何通过I2C总线与EEPROM进行读写操作进而实现参数的保存和读取。系统的测试结果表明烤烟自动控制系统基本上达到了实际的要求,具有一定的先进性。

    标签: FPGA 自动控制系统

    上传时间: 2013-04-24

    上传用户:也一样请求

  • 基于FPGA的LED视频显示控制系统的设计.rar

    LED显示屏是LED点阵模块或者像素单元组成的平面显示屏幕。自从诞生以来,以其亮度高、视角广、寿命长、性价比高的特点,在交通、广告、新闻发布、体育比赛、电子景观等领域得到了广泛应用。 LED显示屏控制器作为控制LED屏显示图像、数据的关键,是整个LED视频显示系统的核心。本文研究的是对全彩色同步LED屏的控制,控制LED屏同步显示在上位机显示系统中某固定位置处的图像。根据已有的LED显示屏及其驱动器的特点,提出了一种可行的方案并进行了设计。系统主要分为两个部分:视频信号的获取,视频信号的处理。 经过分析比较,决定从显卡的DVI接口获得视频源,视频源经过DVI解码芯片TFP401A的解码后,可以获得图像的数字信息,这些信息包括红、绿、蓝三基色的数据以及行同步、场同步、使能等控制信号。这些信号将在视频信号处理模块中被使用。 信号处理模块在接收视频信号源后,对数据进行处理,最后输出数据给驱动电路。在信号处理模块中,采用了可编程逻辑器件FPGA来完成。可编程逻辑器件具有高集成度、高速度、高可靠性、在线可编程(ISP)等特点,所以特别适合于本设计。利用FPGA的可编程性,在FPGA内部划分了各个小模块,各小模块中通过少量的信号进行联系,这样就将比较大的系统转化成许多小的系统,使得设计更加简单,容易验证。本文分析了驱动电路所需要的数据的特点,全彩色灰度级的实现方式,决定把系统划分为视频源截取、RGB格式转化、位平面分离、读SRAM地址发生器、写SRAM地址发生器、读写SRAM选择控制器、灰度实现等模块。 最后利用示波器和SignalTap II逻辑分析仪等工具,对系统进行了联合调试。改进了时序、优化了布局布线,使得系统性能得到了良好的改善。 在分析了所需要的资源的基础上,课题决定采用Altera的Cyclone EP1C12 FPGA设计视频信号处理模块,在Quartus II和modelsim平台下,用Verilog HDL语言开发。

    标签: FPGA LED 视频显示

    上传时间: 2013-05-18

    上传用户:玉箫飞燕

  • 基于单片机控制的步进电机调速系统的设计.zip

    论文于单片机控制的基步进电机调速系统的设计 摘要: 步进电机是将电脉冲信号转变为角位移或线位移的开环控制元件。在非超载的情况下,电机的转速、停止的位置只取决于脉冲信号的频率和脉冲数,而不受负载变化的影响,即给电机加一个脉冲信号,电机则转过一个步距角。这一线性关系的存在,加上步进电机只有周期性的误差而无累积误差等特点。使得在速度、位置等控制领域用步进电机来控制变的非常的简单。步进电机的调速一般是改变输入步进电机的脉冲的频率来实现步进电机的调速,因为步进电机每给一个脉冲就转动一个固定的角度,这样就可以通过控制步进电机的一个脉冲到下一个脉冲的时间间隔来改变脉冲的频率,延时的长短来具体控制步进角来改变电机的转速,从而实现步进电机的调速。在本设计方案中采用AT89C51型单片机内部的定时器改变CP脉冲的频率从而实现对步进电机的转速进行控制,实现电机调速与正反转的功能。

    标签: zip 单片机控制 步进电机 调速系统

    上传时间: 2013-06-15

    上传用户:yw14205

  • 基于FPGA的蓝牙HCIUART控制接口设计.rar

    通用异步收发器UART(Universal Asynchronous Receiver/Transmitter)是广泛使用的串行传输协议。串行外设用到异步串行接口一般采用专用集成电路实现。但是这类芯片一般包含许多辅助模块,而时常不需要使用完整的UART的功能和辅助功能,或者当在FPGA上设计时,需要将UART功能集成到FPGA内部而不能使用芯片。蓝牙主机控制器接口则是实现主机设备与蓝牙模块之间互操作的控制部件。当在使用蓝牙设备的时候尤其是在监控场所,接口控制器在控制数据与计算机的传输上就起了至关重要的作用。 论文针对信息技术的发展和开发过程中的实际需要,设计了一个蓝牙HCI-UART(Host Controller Interface-Universal Asynchronous Receiver/Transmitter)控制接口的模块。使用VHDL将其核心功能集成,既可以单独使用,也可集成到系统芯片中,并且整个设计紧凑、稳定且可靠,其用途广泛,具有一定的使用价值。 本设计采用TOP-DOWN设计方法,整体上分为UART接口和蓝牙主机控制器接口两部分。首先根据UART和蓝牙主机控制器接口的实现原理和设计指标要求进行系统设计,对系统划分模块以及各个模块的信号连接;然后进行模块设计,设计出每个模块的功能,并用VHDL语言编写代码来实现模块功能;再使用ISE8.2I自带的仿真器对各模块进行功能仿真和时序仿真;最后进行硬件验证,在Virtex-II开发板上对系统进行功能验证。实现了发送、接收和波特率发生等功能,验证了结果,表明设计正确,功能良好,符合设计要求。

    标签: HCIUART FPGA 蓝牙

    上传时间: 2013-07-13

    上传用户:wfl_yy

  • 基于FPGA的全彩色LED同步显示屏控制系统的设计.rar

    LED显示屏作为一项高新科技产品正引起人们的高度重视,它以其动态范围广,亮度高,寿命长,工作性能稳定而日渐成为显示媒体中的佼佼者,现已广泛应用于广告、证券、交通、信息发布等各方面,且随着全彩屏显示技术的日益完善,LED显示屏有着广阔的市场前景。 本文主要研究的对象为全彩色LED同步显示屏控制系统,提出了一个系统实现方案,整个系统分三部分组成:DVI解码电路、发送系统以及接收系统。DVI解码模块用于从显卡的DVI口获取视频源数据,经过T.D.M.S.解码恢复出可供LED屏显示的红、绿、蓝共24位像素数据和一些控制信号。发送系统用于将收到的数据流进行缓存,经处理后发送至以太网芯片进行以太网传输。接收系统接收以太网上传来的视频数据流,经过位分离操作后存入SRAM进行缓存,再串行输入至LED显示屏进行扫描显示。然后,从多方面论述了该方案的可行性,仔细推导了LED显示屏各技术参数之间的联系及约束关系。 本课题采用可编程逻辑器件来完成系统功能,可编程逻辑器件具有高集成度、高速度、在线可编程等特点,不仅可以满足高速图像数据处理对速度的要求,而且增加了设计的灵活性,不需修改电路硬件设计,缩短了设计周期,还可以进行在线升级。

    标签: FPGA LED 全彩色

    上传时间: 2013-04-24

    上传用户:西伯利亚

  • 基于FPGA控制的高速数据采集系统设计与实现.rar

    数据采集系统是信号与信息处理系统中不可缺少的重要组成部分,同时也是软件无线电系统中的核心模块,在现代雷达系统以及无线基站系统中的应用越来越广泛。为了能够满足目前对软件无线电接收机自适应性及灵活性的要求,并充分体现在高性能FPGA平台上设计SOC系统的思路,本文提出了由高速高精度A/D转换芯片、高性能FPGA、PCI总线接口、DB25并行接口组成的高速数据采集系统设计方案及实现方法。其中FPGA作为本系统的控制核心和传输桥梁,发挥了极其重要的作用。通过FPGA不仅完成了系统中全部数字电路部分的设计,并且使系统具有了较高的可适应性、可扩展性和可调试性。 在时序数字逻辑设计上,充分利用FPGA中丰富的时序资源,如锁相环PLL、触发器,缓冲器FIFO、计数器等,能够方便的完成对系统输入输出时钟的精确控制以及根据系统需要对各处时序延时进行修正。 在存储器设计上,采用FPGA片内存储器。可根据系统需要随时进行设置,并且能够方便的完成数据格式的合并、拆分以及数据传输率的调整。 在传输接口设计上,采用并行接口和PCI总线接口的两种数据传输模式。通过FPGA中的宏功能模块和IP资源实现了对这两种接口的逻辑控制,可使系统方便的在两种传输模式下进行切换。 在系统工作过程控制上,通过VB程序编写了应用于PC端的上层控制软件。并通过并行接口实现了PC和FPGA之间的交互,从而能够方便的在PC机上完成对系统工作过程的控制和工作模式的选择。 在系统调试方面,充分利用QuartuslI软件中自带的嵌入式逻辑分析仪SignalTaplI,实时准确的验证了在系统整个传输过程中数据的正确性和时序性,并极大的降低了用常规仪器观测FPGA中众多待测引脚的难度。 本文第四章针对FPGA中各功能模块的逻辑设计进行了详细分析,并对每个模块都给出了精确的仿真结果。同时,文中还在其它章节详细介绍了系统的硬件电路设计、并行接口设计、PCI接口设计、PC端控制软件设计以及用于调试过程中的SignalTapⅡ嵌入式逻辑分析仪的使用方法,并且也对系统的仿真结果和测试结果给出了分析及讨论。最后还附上了系统的PCB版图、FPGA逻辑设计图、实物图及注释详细的相关源程序清单。

    标签: FPGA 控制 高速数据

    上传时间: 2013-07-09

    上传用户:sdfsdfs