虫虫首页|资源下载|资源专辑|精品软件
登录|注册

尾喷流场

  • 基于FPGA的TS流复用器及其接口的设计与实现.rar

    在数字电视系统中,MPEG-2编码复用器是系统传输的核心环节,所有的节目、数据以及各种增值服务都是通过复用打包成传输流传输出去。目前,只有少数公司掌握复用器的核心算法技术,能够采用MPEG-2可变码率统计复用方法提高带宽利用率,保证高质量图像传输。由于目前正处广播电视全面向数字化过渡期间,市场潜力巨大,因此对复用器的研究开发非常重要。本文针对复用器及其接口技术进行研究并设计出成形产品。 文中首先对MPEG-2标准及NIOS Ⅱ软核进行分析。重点研究了复用器中的部分关键技术:PSI信息提取及重构算法、PID映射方法、PCR校正及CRC校验算法,给出了实现方法,并通过了硬件验证。然后对复用器中主要用到的AsI接口和DS3接口进行了分析与研究,给出了设计方法,并通过了硬件验证。 本文的主要工作如下: ●首先对复用器整体功能进行详细分析,并划分软硬件各自需要完成的功能。给出复用器的整体方案以及ASI接口和DS3接口设计方案。 ●在FPGA上采用c语言实现了PSI信息提取与重构算法。 ●给出了实现快速的PID映射方法,并根据FPGA特点给出一种新的PID映射方法,减少了逻辑资源的使用,提高了稳定性。 ●采用Verilog设计了SI信息提取与重构的硬件平台,并用c语言实现了SDT表的提取与重构算法,在FPGA中成功实现了动态分配内存空间。 ●在FPGA上实现了.ASI接口,主要分析了位同步的实现过程,实现了一种新的快速实现字节同步的设计。 ●在FPGA上实现了DS3接口,提出并实现了一种兼容式DS3接口设计。并对帧同步设计进行改进。 ●完成部分PCB版图设计,并进行调试监测。 本复用器设计最大特点是将软件设计和硬件设计进行合理划分,硬件平台及接口采用Verilog语言实现,PSI信息算法主要采用c语言实现。这种软硬件的划分使系统设计更加灵活,且软件设计与硬件设计可同时进行,极大的提高了工作效率。 整个项目设计采用verilog和c两种语言完成,采用Altera公司的FPGA芯片EP1C20,在Quartus和NIOS IDE两种设计平台下设计实现。根据此方案已经开发出两台带有ASI和DS3接口的数字电视TS流复用器,经测试达到了预期的性能和技术指标。

    标签: FPGA TS流 复用器

    上传时间: 2013-08-02

    上传用户:gdgzhym

  • 基于H264的网络视频监控的FPGA实现研究.rar

    随着科学技术的发展与公共安全保障需求的提高,视频监控系统在工业生产、日常生活、警备与军事方面的应用越来越广泛。采用基于 FPGA 的SOPC技术、H.264压缩编码技术和网络传输控制技术实现网络视频监控系统,在稳定性、功能、成本与扩展性等方面都有着突出的优势,具有重要的学术意义与实用意义, 本课题所设计的网络视频监控系统由以Nios Ⅱ为核心的嵌入式图像服务器、相关网络设备与若干PC机客户端组成。嵌入式图像服务器实时采集图像,采用H.264 编码算法进行压缩,并持续监听网络。PC机客户端可通过网络对服务器进行远程访问,接收编码数据,使用H.264解码算法重建图像并实时显示,使监控人员有效地掌握现场情况, 在嵌入式图像服务器设计阶段,本文首先进行了芯片选型与开发平台选择。然后构建图像采集子系统,采用双缓存乒乓交换的方法设计图像采集用户自定义模块。接着设计双Nios Ⅱ架构的SOPC系统,阐述了双软核设计中定制连接、内存芯片共享、数据搬移、通信与互斥的解决方法。同时完成了网络服务器的设计,采用μC/OS-Ⅱ进行多任务的管理与调度, H.264视频压缩编解码算法设计与实现是本文的重点。文中首先分析H.264.标准,规划编解码器结构。接着设计了16×16帧内预测算法,并设计宏块扫描方式,采用两次判决策略进行预测模式选择。然后设计4×4子块扫描方式,编写整数变换与量化算法程序。熵编码采用Exp-Golomb编码与CAVLC相结合的方案,针对除拖尾系数之外的非零系数值编码子算法,实现了一种基于表示范围判别的编码方法。最后设计了网络传输的码流组成格式,并针对编码算法设计相应解码算法。使用VC++完成算法验证,并进行测试,观察不同参数下压缩率与失真度的变化。 算法验证完成后,本文进行了PC机客户端设计,使其具有远程访问、H.264解码与实时显示的功能。同时将H.264 编码算法程序移植到NiosⅡ中,并将嵌入式图像服务器与若干客户端接入网络进行联合调试,构建完整的网络视频监控系统, 实验结果表明,本系统视频压缩率高,监控图像质量良好,充分证明了系统软硬件与图像编解码算法设计成功。本系统具有成本低、扩展性好及适用范围广等优点,发展前景十分广阔。

    标签: H264 FPGA 网络视频监控

    上传时间: 2013-04-24

    上传用户:wang0123456789

  • 基于FPGA的MPEG2TS码流实时分析与检测系统.rar

    当前我国正处在从模拟电视系统向数字电视系统的转型期,数字电视用户数量激增,其趋势是在未来的几年内数字电视将迅速普及。在应用逐渐广泛的数字电视系统中,监控数字电视服务正成为一种越来越迫切的需要。然而,目前对于数字电视并没有合适的监测仪器,因此无法及时方便地诊断出现问题的信号以及隔离需要维修的数字化设备。通常只有当电视屏幕上的图像消失时我们才知道数字信号系统出了问题。几乎没有任何线索可以用来找到问题的所在或原因,码流分析仪器在这种情况下应运而生。目前在数字电视系统的前端,通过监控了解数字视频广播(DVB)信号和服务的状况从而采取措施比通过观众的反映而采取措施要主动和及时得多。传输流(TS)的测试设备可使技术人员分析码流的内部情况,它们在决定未来服务质量和客户满意度方面将扮演更重要的角色。 本文着重研究了在DVB广播电视系统中,DVB-ASI信号的解码、MPEG-2TS的实时检错原理和基于现场可编辑门阵列(FPGA)的实现方法。文章首先阐述了数字电视系统的一些基本概念,介绍了MPEG-2/DVB标准、ETR101 290标准、异步串行接口(ASI)。然后介绍了FPGA的基本概念与开发FPGA所使用的软件工具。最后根据DVB-ASI接收系统的解码规则与MPEG-2TS码流的结构提出了一套基于FPGA的MPEG-2TS码流实时分析与检测系统设计方案并予以了实现。 在本系统中,FPGA起着核心的作用,主要完成DVB-ASI的解码、MPEG-2TS码流检错、以及数字电视节目专有信息(PSI)提取等功能。本文实现的系统与传统的码流分析仪相比具有集成度较高、易扩展、便于携带、稳定性好、性价比高等优点。

    标签: MPEG2TS FPGA 码流

    上传时间: 2013-06-04

    上传用户:love1314

  • 贴片二三极管场效应管代码查询.rar

    二三极管场效应管代码查询,供选择器件时使用。

    标签: 贴片 三极管 场效应管

    上传时间: 2013-07-11

    上传用户:上善若水

  • 一种基于大功率FET的数控直流电流源设计

    系统采用ATME189S52 为微控制器(MCE)核心,实现了可控的恒定直流电流源设计。核心恒流模块采用自反馈电路连接大功率场效应管IRFZ44NL,使得电流输出范围达到20~2000

    标签: FET 大功率 数控直流 电流源设计

    上传时间: 2013-07-04

    上传用户:cy_ewhat

  • HT2106系列PFM DCDC升压恒流白光LED驱动器

    计的PFM 控制模式的开关型DC/DC 升压恒流芯片,通过外接电阻可使输出电流值恒定在0mA~500mA。

    标签: 2106 DCDC PFM LED

    上传时间: 2013-04-24

    上传用户:lo25643

  • 基于FPGA的MPEG-2预处理TS流复用设计及验证

      本文着重研究了多路数字节目复用器中的对多路预处理TS流复用的原理和基于FPGA的实现方法。首先论述了关于数字电视系统的一些基本概念,介绍了MPEG-2/DVB标准以及数字电视节目专用信息(PSI),并结合多路数字节目复用的基本原理提出了一套基于FPGA的设计方案。通过对复用器输入部分、复用控制逻辑和PCR校正等一系列模块的设计及仿真验证,达到了设计的要求,取得了一定的研究成果。

    标签: FPGA MPEG 预处理 TS流

    上传时间: 2013-06-08

    上传用户:bugtamor

  • MPEG-2传送流特殊信息处理的FPGA实现研究

      本文介绍了如何利用FPGA(FieldProgrammableGateArray)技术来实现传送流特殊信息的处理,其主要内容如下:1.介绍了MPEG-2传送流系统层的语法规范;2.描述了传送流特殊信息之间的结构关系;3.简要介绍了传送流复用的原理和实现方法;4.详细讨论了如何用FPGA技术来实现对特殊信息的处理;整个项目的设计采用VHDL作为程序设计语言,都是以Xilinx的FPGA芯片及其ISE5.2i作为开发系统进行的。

    标签: MPEG FPGA 传送 信息处理

    上传时间: 2013-06-11

    上传用户:410805624

  • 传输流复用器的FPGA建模与实现

    数字电视近年来飞速发展,它最终取代模拟电视是一个必然趋势。可编程逻辑技术以及EDA技术的升温也带来了电子系统设计的巨大变革。本论文将迅速发展的FPGA技术应用于数字电视系统中,研究探讨了数字电视前端系统中的关键设备——传输流复用器的FPGA建模和实现,以及相关的关键技术。本论文首先介绍了数字电视的发展现状和前景,概述了数字电视前端系统的组成结构与关键技术,以及可编程逻辑技术的发展和优势。然后介绍了数字电视系统中的重要标准MPEG-2以及传输流复用器的原理和系统结构,并且从理论上阐述了复用器设计的关键技术:PSI重组和PCR调整。接着详细说明了如何运用创新思路,采用独特的硬件架构在一片FPGA上实现整个复用器的软件和硬件系统的方案,并且举例说明了复用器硬件逻辑设计中所运用的几个FPGA设计技巧。最后对本文进行总结,并提出了数字电视系统中复用器设备未来发展的设想。本文中介绍的基于SOPC的硬件复用器设计方案,将系统的软件和硬件集成在一款Altera公司新推出的低成本高密度cyclone系列FPGA上,并且将FPGA设计技巧运用于复用器的硬件逻辑设计中。整个设计方案不但简化了系统设计,而且实现了稳定,高速,低成本,可扩展性强的复用器系统。

    标签: FPGA 传输流 复用器 建模

    上传时间: 2013-06-02

    上传用户:gtzj

  • 一种高精度恒流源电路的设计与实现.pdf

    恒流电路高精度,现了简单实用,由基准电压源,集成运算放大器及复合管组成的

    标签: 高精度 恒流源电路

    上传时间: 2013-07-25

    上传用户:qin1208