虫虫首页|资源下载|资源专辑|精品软件
登录|注册

奇偶校验码

  • 数字电视传输系统中LDPC码编码器的研究与FPGA实现.rar

    自香农先生于1948年开创信息论以来,经过将近60年的发展,信道编码技术已经成为通信领域的一个重要分支,各种编码技术层出不穷。目前广泛研究的低密度奇偶校验(LDCP)码是由R.G.Gallager先生提出的一种具有逼近香农限性能的优秀纠错码,并已在数字电视、无线通信、磁盘存储等领域得到大量应用。 目前数字电视已经成为最热门的话题之一,用手机看北京奥运,已经成为每一个中国人的梦想。最近两年我国颁布了两部与数字电视有关的通信标准,分别是数字电视地面传输标准(DMB-TH)和移动多媒体(CMMB)即俗称的手机电视标准。数字电视正与每个人走得越来越近,我国预期在2015年全面实现数字电视并停止模拟电视的播出。作为数字电视标准的核心技术之一的前向纠错码技术已经成为众多科研单位的研究热点,相应的编解码芯片更成为重中之重。在DMB-TH标准中用到了LDPC码和BCH码的级联编码方式,在CMMB标准中用到了LDPC码和RS码的级联编码方式,在DVB-S2标准中用到了LDPC码和BCH码的级联编码方式。 本论文以目前最重要的三个与数字电视相关的标准:数字电视地面传输标准(DMB-TH)、手机电视标准(CMMB)以及数字卫星电视广播标准(DVB-S2)为切入点,深入研究它们的编码方式,设计了这三个标准中的LDPC码编码器,并在FPGA上实现了前两个标准的编码芯片,实现了DMB-TH标准中0.4、0.6以及0.8三种码率的复用。在研究CMMB标准中编码器设计时,提出一种改进的LU分解算法,该分解方式适合任意的H矩阵,具有一定的广泛性。测试结果表明,芯片逻辑功能完全正确,速度和资源消耗均达到了标准的要求,具有一定的商用价值。

    标签: LDPC FPGA 数字电视

    上传时间: 2013-07-06

    上传用户:327000306

  • 单片机应用PS/2键盘的实例,本程序源码只供学习参考

    单片机应用PS/2键盘的实例,本程序源码只供学习参考,不得应用于商业用途,如有需要请联系作者。 [注:AT89x51使用12M或11.0592M晶振,实测使用11.0592M] [Keil uV2 7.01编译运行通过 程序中没有做键盘数据的奇偶校验]

    标签: PS 单片机应用 键盘 程序源码

    上传时间: 2013-12-20

    上传用户:franktu

  • 用md5校验文件特征码

    用md5校验文件特征码,用于文件验证 本例子中为自校验,若改其他文件路径 可以对指定文件校验,预设校验码 现在是在编译前赋值,自己使用可以根据需要 自己考虑从外部载入。

    标签: md5 特征码

    上传时间: 2014-12-03

    上传用户:cursor

  • 循环冗余校验CRC (Cyclic Redundancy Check)码是由分组线性码的分支而来

    循环冗余校验CRC (Cyclic Redundancy Check)码是由分组线性码的分支而来,其主要应用是二元码字。编码简单且误判概率很低,在通信系统中得到了广泛的应用。循环冗余校验码是属于分组码中的一类重要的线性码,它不仅在理论上具有很好的代数结构,而且其编码和译码可以通过线性移位寄存器很容易地实现。 通过对CRC的分析和基于MATLAB工具的仿真,充分证明了CRC的检错能力很强,编码简单。

    标签: Redundancy Cyclic Check CRC

    上传时间: 2016-04-13

    上传用户:watch100

  • 这是CRC字符串校验的源码

    这是CRC字符串校验的源码,可对字符串校验后输出校验码

    标签: CRC 字符串 源码

    上传时间: 2017-04-11

    上传用户:zyt

  • 使用MSCOMM控件编程源码

    使用MSCOMM控件编程源码,可以在线设置通信速率、奇偶校验、通信口无需重新启动程序;可以设置定时发送的数据及时间间隔;可以自动显示接收到的数据,并能在字符串、十进制和十六进制之间自由切换;自动保存设置参数。

    标签: MSCOMM 控件编程 源码

    上传时间: 2014-01-13

    上传用户:z754970244

  • Verilog HDl语言实现CPLD-EPC240与电脑的串口通讯QUARTUS逻辑工程源码

    Verilog HDl语言实现CPLD-EPC240与电脑的串口通讯QUARTUS逻辑工程源码 //本模块的功能是验证实现和PC机进行基本的串口通信的功能。需要在//PC机上安装一个串口调试工具来验证程序的功能。//程序实现了一个收发一帧10个bit(即无奇偶校验位)的串口控//制器,10个bit是1位起始位,8个数据位,1个结束//位。串口的波特律由程序中定义的div_par参数决定,更改该参数可以实//现相应的波特率。程序当前设定的div_par 的值是0x145,对应的波特率是//9600。用一个8倍波特率的时钟将发送或接受每一位bit的周期时间//划分为8个时隙以使通信同步.//程序的工作过程是:串口处于全双工工作状态,按动key1,FPGA/CPLD向PC发送“21 EDA"//字符串(串口调试工具设成按ASCII码接受方式);PC可随时向FPGA/CPLD发送0-F的十六进制

    标签: verilog hdl cpld 串口通讯 quartus

    上传时间: 2022-02-18

    上传用户:nicholas28

  • VHDL 基础程序百例 FPGA 逻辑设计源码

    VHDL 基础程序百例 FPGA 逻辑设计源码VHDL语言100例第1例 带控制端口的加法器第2例 无控制端口的加法器第3例 乘法器第4例 比较器第5例 二路选择器第6例 寄存器第7例 移位寄存器第8例 综合单元库第9例 七值逻辑与基本数据类型第10例 函数第11例 七值逻辑线或分辨函数第12例 转换函数第13例 左移函数第14例 七值逻辑程序包第15例 四输入多路器第16例 目标选择器第17例 奇偶校验器第18例 映射单元库及其使用举第19例 循环边界常数化测试第20例 保护保留字第21例 进程死锁 第22例 振荡与死锁第23例 振荡电路第24例 分辨信号与分辨函数第25例 信号驱动源第26例 属性TRANSACTION和分辨信号第27例 块保护及属性EVENT,第28例 形式参数属性的测试第29例 进程和并发语句第30例 信号发送与接收第31例 中断处理优先机制建模第32例 过程限定第33例 整数比较器及其测试第34例 数据总线的读写第35例 基于总线的数据通道第36例 基于多路器的数据通道第37例 四值逻辑函数第38例 四值逻辑向量按位或运算第39例 生成语句描述规则结构第40例 带类属的译码器描述第41例 带类属的测试平台第42例 行为与结构的混合描述第43例 四位移位寄存器第44例 寄存/计数器第45例 顺序过程调用第46例 VHDL中generic缺省值的使用第47例 无输入元件的模拟第48例 测试激励向量的编写第49例 delta延迟例释第50例 惯性延迟分析第51例 传输延迟驱动优先第52例 多倍(次)分频器第53例 三位计数器与测试平台第54例 分秒计数显示器的行为描述6第55例 地址计数器第56例 指令预读计数器第57例 加.c减.c乘指令的译码和操作第58例 2-4译码器结构描述第59例 2-4译码器行为描述第60例 转换函数在元件例示中的应用第61例 基于同一基类型的两分辨类型的赋值相容问题第62例 最大公约数的计算第63例 最大公约数七段显示器编码第64例 交通灯控制器第65例 空调系统有限状态自动机第66例 FIR滤波器第67例 五阶椭圆滤波器第68例 闹钟系统的控制第69例 闹钟系统的译码第70例 闹钟系统的移位寄存器第71例 闹钟系统的闹钟寄存器和时间计数器第72例 闹钟系统的显示驱动器第73例 闹钟系统的分频器第74例 闹钟系统的整体组装第75例 存储器第76例 电机转速控制器第77例 神经元计算机第78例ccAm2901四位微处理器的ALU输入第79例ccAm2901四位微处理器的ALU第80例ccAm2901四位微处理器的RAM第81例ccAm2901四位微处理器的寄存器第82例ccAm2901四位微处理器的输出与移位第83例ccAm2910四位微程序控制器中的多路选择器第84例ccAm2910四位微程序控制器中的计数器/寄存器第85例ccAm2910四位微程序控制器的指令计数器第86例ccAm2910四位微程序控制器的堆栈第87例 Am2910四位微程序控制器的指令译码器第88例 可控制计数器第89例 四位超前进位加法器第90例 实现窗口搜索算法的并行系统(1)——协同处理器第91例 实现窗口搜索算法的并行系统(2)——序列存储器第92例 实现窗口搜索算法的并行系统(3)——字符串存储器第93例 实现窗口搜索算法的并行系统(4)——顶层控制器第94例 MB86901流水线行为描述组成框架第95例 MB86901寄存器文件管理的描述第96例 MB86901内ALU的行为描述第97例 移位指令的行为描述第98例 单周期指令的描述第99例 多周期指令的描述第100例 MB86901流水线行为模型

    标签: vhdl fpga

    上传时间: 2022-05-14

    上传用户:zinuoyu

  • LDPC编码算法研究及其FPGA实现.rar

    LDPC(Low Density Parity Check)码是一类可以用非常稀疏的校验矩阵或二分图定义的线性分组纠错码,最初由Gallager发现,故亦称Gallager码.它和著名Turbo码相似,具有逼近香农限的性能,几乎适用于所有信道,因此成为近年来信道编码界研究的热点。 LDPC码的奇偶校验矩阵呈现稀疏性,其译码复杂度与码长成线性关系,克服了分组码在长码长时所面临的巨大译码计算复杂度问题,使长编码分组的应用成为可能。而且由于校验矩阵的稀疏特性,在长的编码分组时,相距很远的信息比特参与统一校验,这使得连续的突发差错对译码的影响不大,编码本身就具有抗突发差错的特性。 本文首先介绍了LDPC码的基本概念和基本原理,其次,具体介绍了LDPC码的构造和各种编码算法及其生成矩阵的产生方法,特别是准循环LDPC码的构造以及RU算法、贪婪算法,并在此基础上采用贪婪算法对RU算法进行了改进。 最后,选用Altera公司的Stratix系列FPGA器件EPls25F67217,实现了码长为504的基于RU算法的LDPC编码器。在设计过程中,为节省资源、提高速度,在向量存储时采用稀疏矩阵技术,在向量相加时采用通过奇校验直接判定结果的方法,在向量乘法中,采用了前向迭代方法,避开了复杂的矩阵求逆运算。结果表明,该编码器只占用约10%的逻辑单元,约5%的存储单元,时钟频率达到120MHz,数据吞吐率达到33Mb/s,功能上也满足编码器的要求。

    标签: LDPC FPGA 编码

    上传时间: 2013-06-08

    上传用户:66wji

  • 单片机多功能调试助手V1.1.9

    特色在于为之量身定制了一款多功能调试软件,不仅含有串口调试功能、而且该软件强大之处支持USB数据收发、网络数据收发、51/AVR单片机波特率计算、数码管字型码生成、进制转换、点阵生成、校验值(奇偶校验/校验和/CRC冗余循环校验)/BMP转16进制、服务器、在线更新等功能。

    标签: 单片机 多功能 调试助手

    上传时间: 2013-06-17

    上传用户:梧桐