虫虫首页|资源下载|资源专辑|精品软件
登录|注册

型号说明

  • SMD型号对照

    SMD型号对照,方便查找未知器件,为什么非要20个字,明明我以说明的很详细,官僚主义作风

    标签: SMD型号对照

    上传时间: 2015-07-19

    上传用户:这不是那个啥

  • 原厂直销TM1616,TM1650,TM1651替代兼容型号

    产品型号:VK1650 产品品牌: VINTEK/元泰 封装形式:SOP16 产品年份:新年份 联 系 人:许先生 台湾元泰一级代理,原装现货更有优势!工程服务,技术支持,让您的生产高枕无忧!  概述 VK1650 是一种带键盘扫描电路接口的 LED 驱动控制专用电路。内部集成有 MCU 输入输出控制数字 接口、数据锁存器、LED 驱动、键盘扫描、辉度调节等电路。本芯片性能稳定、质量可靠、抗干扰能力 强,可适应于 24 小时长期连续工作的应用场合。   功能特点 显示模式:8 段×4 位 段驱动电流不小于 25mA,字驱动电流不小于 150mA 提供 8 级亮度控制 键盘扫描:7×4bit 高速两线式串行接口 内置时钟振荡电路 内置上电复位电路 支持 3V-5.5V 电源电压 提供 DIP16(VK1650P)及 SOP16(VK1650M)封装   此资料为产品概述,可能会有错漏。如需完整产品PDF资料可以联系许先生索取!   产品型号:VK1651 产品品牌: VINTEK/元泰 封装形式:SOP16 产品年份:新年份 联 系 人:许先生 台湾元泰一级代理,原装现货更有优势!工程服务,技术支持,让您的生产高枕无忧! 量大价优,保证原装正品。您有量,我有价!   概述 VK1651  是一种带键盘扫描电路接口的 LED 驱动控制专用电路。内部集成有 MCU 输入输出控制数字 接口、数据锁存器、LED 驱动、键盘扫描、辉度调节等电路。本芯片性能稳定、质量可靠、抗干扰能力 强,可适应于 24 小时长期连续工作的应用场合。   功能特点 显示模式:8 段×4 位 段驱动电流不小于 25mA,字驱动电流不小于 150mA 提供 8 级亮度控制 键盘扫描:7×4bit 高速两线式串行接口 内置时钟振荡电路 内置上电复位电路 支持 3V-5.5V 电源电压 提供 DIP16(VK1650P)及 SOP16(VK1650M)封装   此资料为产品概述,可能会有错漏。如需完整产品PDF资料可以联系许先生索取! 产品型号:VK1616 产品品牌: VINTEK/元泰 封装形式:SOP16 产品年份:新年份 联 系 人:许先生 台湾元泰一级代理,原装现货更有优势!工程服务,技术支持,让您的生产高枕无忧! 量大价优,保证原装正品。您有量,我有价!   概述 VK1616 是 1/5~1/8 占空比的 LED 显示控制驱动电路。具有 7 根段输出、4 根栅输出,是一个由显示存储器、控制电路组成的高可靠性的 LED 驱动电路。串行数据通过三线串行接口输入到 VK1616,采用SOP16/DIP16 的封装形式。   二、 特性说明 CMOS 工艺 低功耗 显示模式 7 段×4 位  8 个层次的亮度调节电路  三线串行接口 内置 RC 振荡 封装形式为 SOP16(M)/DIP16(P)     永嘉原厂LED/LCD液晶控制器及驱动器系列 芯片简介如下: 内存映射的LED控制器及驱动器     VK1628 --- 通讯接口:STb/CLK/DIO    电源电压:5V(4.5~5.5V)    驱动点阵:70/52  共阴驱动:10段7位/13段4位  共阳驱动:7段10位   按键:10x2  封装SOP28 VK1629 --- 通讯接口:STb/CLK/DIN/DOUT    电源电压:5V(4.5~5.5V)    驱动点阵:128 共阴驱动:16段8位   共阳驱动:8段16位   按键:8x4  封装QFP44 VK1629A --- 通讯接口:STb/CLK/DIO    电源电压:5V(4.5~5.5V)    驱动点阵:128 共阴驱动:16段8位   共阳驱动:8段16位   按键:---  封装SOP32 VK1629B --- 通讯接口:STb/CLK/DIO    电源电压:5V(4.5~5.5V)    驱动点阵:112  共阴驱动:14段8位   共阳驱动:8段14位   按键:8x2  封装SOP32 VK1629C --- 通讯接口:STb/CLK/DIO    电源电压:5V(4.5~5.5V)    驱动点阵:120  共阴驱动:15段8位  共阳驱动:8段15位   按键:8x1  封装SOP32 VK1629D --- 通讯接口:STb/CLK/DIO    电源电压:5V(4.5~5.5V)    驱动点阵:96  共阴驱动:12段8位  共阳驱动:8段12位   按键:8x4  封装SOP32 VK1640 --- 通讯接口: CLK/DIN    电源电压:5V(4.5~5.5V)    驱动点阵:128 共阴驱动:8段16位  共阳驱动:16段8位   按键:---  封装SOP28 VK1640A --- 通讯接口: CLK/DIN    电源电压:5V(4.5~5.5V)    驱动点阵:128 共阴驱动:8段16位  共阳驱动:16段8位   按键:---  封装SSOP28 VK1640B --- 通讯接口: CLK/DIN    电源电压:5V(4.5~5.5V)    驱动点阵:96 共阴驱动:8段12位  共阳驱动:12段8位   按键:---  封装SSOP24 VK1650 --- 通讯接口: SCL/SDA    电源电压:5V(3.0~5.5V)    共阴驱动:8段4位   共阳驱动:4段8位   按键:7x4  封装SOP16/DIP16 VK1651 --- 通讯接口: SCL/SDA    电源电压:5V(3.0~5.5V)    共阴驱动:7段4位   共阳驱动:4段7位   按键:7x1  封装SOP16/DIP16 VK1616 --- 通讯接口: 三线串行    电源电压:5V(3.0~5.5V)    显示模式:7段4位   按键:7x1  封装SOP16/DIP16 VK1668 ---通讯接口:STb/CLK/DIO    电源电压:5V(4.5~5.5V)    驱动点阵:70/52 共阴驱动:10段7位/13段4位  共阳驱动:7段10位   按键:10x2  封装SOP24 VK6932 --- 通讯接口:STb/CLK/DIN    电源电压:5V(4.5~5.5V)    驱动点阵:128 共阴驱动:8段16位17.5/140mA  共阳驱动:16段8位   按键:---  封装SOP32 RAM映射LCD控制器和驱动器系列 VK1024b  2.4V~5.2V   6seg*4com  6*3   6*2                偏置电压1/2 1/3   S0P-16 VK1056b  2.4V~5.2V   14seg*4com 14*3  14*2             偏置电压1/2 1/3   SOP-24/SSOP-24 VK1072B  2.4V~5.2V   18seg*4com 18*3  18*2             偏置电压1/2 1/3   SOP-28 VK1072C  2.4V~5.2V  18seg*4com  18*3  18*2             偏置电压1/2 1/3   SOP-28 VK1088b  2.4V~5.2V  22seg*4com  22*3                  偏置电压1/2 1/3   QFN-32L(4MM*4MM) VK0192   2.4V~5.2V  24seg*8com                                  偏置电压1/4       LQFP-44 VK0256   2.4V~5.2V  32seg*8com                                  偏置电压1/4       QFP-64 VK0256b  2.4V~5.2V  32seg*8com                                 偏置电压1/4       LQFP-64 VK0256C  2.4V~5.2V  32seg*8com                                偏置电压1/4       LQFP-52 VK1621S-1 2.4V~5.2V  32*4 32*3 32*2   偏置电压1/2 1/3   LQFP44/48/SSOP48/SKY28/DICE裸片 VK1622B  2.7V~5.5V   32seg*8com                                 偏置电压1/4       LQFP-48 VK1622S  2.7V~5.5V   32seg*8com          偏置电压1/4     LQFP44/48/52/64/QFP64/DICE裸片 VK1623S  2.4V~5.2V   48seg*8com          偏置电压1/4     LQFP-100/QFP-100/DICE裸片 VK0384  2.4V~5.2V   48seg*8com                  偏置电压1/4    LQFP64(7MM*7MM) VK1625    2.4V~5.2V  64seg*8com                 偏置电压1/4    LQFP-100/QFP-100/DICE VK1626    2.4V~5.2V  48seg*16com                                偏置电压1/5    LQFP-100/QFP-100/DICE (高品质 高性价比:液晶显示驱动IC  原厂直销 工程技术支持!) 高抗干扰LCD液晶控制器及驱动系列 VK2C21A  2.4~5.5V  20seg*4com  16*8          偏置电压1/3 1/4   I2C通讯接口    SOP-28 VK2C21B  2.4~5.5V  16seg*4com  12*8          偏置电压1/3 1/4   I2C通讯接口    SOP-24 VK2C21C  2.4~5.5V  12seg*4com  8*8           偏置电压1/3 1/4   I2C通讯接口    SOP-20 VK2C21D  2.4~5.5V  8seg*4com   4*8            偏置电压1/3 1/4   I2C通讯接口    NSOP-16 VK2C22A  2.4~5.5V  44seg*4com                   偏置电压1/2 1/3   I2C通讯接口    LQFP-52 VK2C22B  2.4~5.5V  40seg*4com                   偏置电压1/2 1/3   I2C通讯接口    LQFP-48 VK2C23A  2.4~5.5V  56seg*4com  52*8          偏置电压1/3 1/4   I2C通讯接口    LQFP-64 VK2C23B  2.4~5.5V  36seg*8com                     偏置电压1/3 1/4   I2C通讯接口    LQFP-48 VK2C24   2.4~5.5V  72seg*4com 68*8 60*16     偏置电压1/3 1/4 1/5  I2C通讯接口 LQFP-80                超低功耗LCD液晶控制器及驱动系列 VKL060   2.5~5.5V  15seg*4com            偏置电压1/2 1/3   I2C通讯接口   SSOP-24 VKL128   2.5~5.5V  32seg*4com            偏置电压1/2 1/3   I2C通讯接口   LQFP-44 VKL144A  2.5~5.5V  36seg*4com            偏置电压1/2 1/3   I2C通讯接口   TSSOP-48 VKL144B  2.5~5.5V  36seg*4com         偏置电压1/2 1/3   I2C通讯接口   QFN48L (6MM*6MM) 静态显示LCD液晶控制器及驱动系列 VKS118   2.4~5.2V  118seg*2com           偏置电压 --       4线通讯接口   LQFP-128 VKS232   2.4~5.2V  116seg*2com           偏置电压1/1 1/2   4线通讯接口   LQFP-128 联系人:许先生           以上介绍内容为IC参数简介,难免有错漏,且相关IC型号众多,未能一一收录。欢迎联系索取完整资料及样品!    生意无论大小,做人首重诚信!本公司全体员工将既往开来,再接再厉。争取为各位带来更专业的技术支持,更优质的销售服务,更高性价比的好产品.竭诚希望能与各位客户朋友深入沟通,携手共进,共同成长,合作共赢!谢谢。  

    标签: TM 1616 1650 1651 兼容 型号

    上传时间: 2020-06-12

    上传用户:2937735731

  • 基于FPGA设计的字符VGA LCD显示实验Verilog逻辑源码Quartus工程文件+文档说明

    基于FPGA设计的字符VGA  LCD显示实验Verilog逻辑源码Quartus工程文件+文档说明,通过字符转换工具将字符转换为 8 进制 mif 文件存放到单端口的 ROM IP 核中,再从ROM 中把转换后的数据读取出来显示到 VGA 上,FPGA型号Cyclone4E系列中的EP4CE6F17C8,Quartus版本17.1。module top( input                       clk, input                       rst_n, //vga output         output                      vga_out_hs, //vga horizontal synchronization          output                      vga_out_vs, //vga vertical synchronization                   output[4:0]                 vga_out_r,  //vga red output[5:0]                 vga_out_g,  //vga green output[4:0]                 vga_out_b   //vga blue );wire                            video_clk;wire                            video_hs;wire                            video_vs;wire                            video_de;wire[7:0]                       video_r;wire[7:0]                       video_g;wire[7:0]                       video_b;wire                            osd_hs;wire                            osd_vs;wire                            osd_de;wire[7:0]                       osd_r;wire[7:0]                       osd_g;wire[7:0]                       osd_b;assign vga_out_hs = osd_hs;assign vga_out_vs = osd_vs;assign vga_out_r  = osd_r[7:3]; //discard low bit dataassign vga_out_g  = osd_g[7:2]; //discard low bit dataassign vga_out_b  = osd_b[7:3]; //discard low bit data//generate video pixel clockvideo_pll video_pll_m0( .inclk0                (clk                        ), .c0                    (video_clk                  ));color_bar color_bar_m0( .clk                   (video_clk                  ), .rst                   (~rst_n                     ), .hs                    (video_hs                   ), .vs                    (video_vs                   ), .de                    (video_de                   ), .rgb_r                 (video_r                    ), .rgb_g                 (video_g                    ), .rgb_b                 (video_b                    ));osd_display  osd_display_m0( .rst_n                 (rst_n                      ), .pclk                  (video_clk                  ), .i_hs                  (video_hs                   ), .i_vs                  (video_vs                   ), .i_de                  (video_de                   ), .i_data                ({video_r,video_g,video_b}  ), .o_hs                  (osd_hs                     ), .o_vs                  (osd_vs                     ), .o_de                  (osd_de                     ), .o_data                ({osd_r,osd_g,osd_b}        ));endmodule

    标签: fpga vga lcd

    上传时间: 2021-12-18

    上传用户:jimmy950583

  • 基于FPGA设计的sdram读写测试实验Verilog逻辑源码Quartus工程文件+文档说明 DR

    基于FPGA设计的sdram读写测试实验Verilog逻辑源码Quartus工程文件+文档说明,DRAM选用海力士公司的 HY57V2562 型号,容量为的 256Mbit,采用了 54 引脚的TSOP 封装, 数据宽度都为 16 位, 工作电压为 3.3V,并丏采用同步接口方式所有的信号都是时钟信号。FPGA型号Cyclone4E系列中的EP4CE6F17C8,Quartus版本17.1。timescale 1ps/1psmodule top(input                        clk,input                        rst_n,output[1:0]                  led,output                       sdram_clk,     //sdram clockoutput                       sdram_cke,     //sdram clock enableoutput                       sdram_cs_n,    //sdram chip selectoutput                       sdram_we_n,    //sdram write enableoutput                       sdram_cas_n,   //sdram column address strobeoutput                       sdram_ras_n,   //sdram row address strobeoutput[1:0]                  sdram_dqm,     //sdram data enable output[1:0]                  sdram_ba,      //sdram bank addressoutput[12:0]                 sdram_addr,    //sdram addressinout[15:0]                  sdram_dq       //sdram data);parameter MEM_DATA_BITS          = 16  ;        //external memory user interface data widthparameter ADDR_BITS              = 24  ;        //external memory user interface address widthparameter BUSRT_BITS             = 10  ;        //external memory user interface burst widthparameter BURST_SIZE             = 128 ;        //burst sizewire                             wr_burst_data_req;       // from external memory controller,write data request ,before data 1 clockwire                             wr_burst_finish;         // from external memory controller,burst write finish

    标签: fpga sdram verilog quartus

    上传时间: 2021-12-18

    上传用户:lostxc

  • 基于FPGA设计的vga显示测试实验Verilog逻辑源码Quartus工程文件+文档说明 FPGA

    基于FPGA设计的vga显示测试实验Verilog逻辑源码Quartus工程文件+文档说明,FPGA型号Cyclone4E系列中的EP4CE6F17C8,Quartus版本17.1。module top( input                       clk, input                       rst_n, //vga output         output                      vga_out_hs, //vga horizontal synchronization          output                      vga_out_vs, //vga vertical synchronization                   output[4:0]                 vga_out_r,  //vga red output[5:0]                 vga_out_g,  //vga green output[4:0]                 vga_out_b   //vga blue );wire                            video_clk;wire                            video_hs;wire                            video_vs;wire                            video_de;wire[7:0]                       video_r;wire[7:0]                       video_g;wire[7:0]                       video_b;assign vga_out_hs = video_hs;assign vga_out_vs = video_vs;assign vga_out_r  = video_r[7:3]; //discard low bit dataassign vga_out_g  = video_g[7:2]; //discard low bit dataassign vga_out_b  = video_b[7:3]; //discard low bit data//generate video pixel clockvideo_pll video_pll_m0( .inclk0(clk), .c0(video_clk));color_bar color_bar_m0( .clk(video_clk), .rst(~rst_n), .hs(video_hs), .vs(video_vs), .de(video_de), .rgb_r(video_r), .rgb_g(video_g), .rgb_b(video_b));endmodule

    标签: fpga vga显示 verilog quartus

    上传时间: 2021-12-18

    上传用户:kingwide

  • FPGA片内FIFO读写测试Verilog逻辑源码Quartus工程文件+文档说明 使用 FPGA

    FPGA片内FIFO读写测试Verilog逻辑源码Quartus工程文件+文档说明,使用 FPGA 内部的 FIFO 以及程序对该 FIFO 的数据读写操作。FPGA型号Cyclone4E系列中的EP4CE6F17C8,Quartus版本17.1。timescale 1ns / 1ps//////////////////////////////////////////////////////////////////////////////////module fifo_test( input clk,           //50MHz时钟 input rst_n              //复位信号,低电平有效 );//-----------------------------------------------------------localparam      W_IDLE      = 1;localparam      W_FIFO     = 2; localparam      R_IDLE      = 1;localparam      R_FIFO     = 2; reg[2:0]  write_state;reg[2:0]  next_write_state;reg[2:0]  read_state;reg[2:0]  next_read_state;reg[15:0] w_data;    //FIFO写数据wire      wr_en;    //FIFO写使能wire      rd_en;    //FIFO读使能wire[15:0] r_data; //FIFO读数据wire       full;  //FIFO满信号 wire       empty;  //FIFO空信号 wire[8:0]  rd_data_count;  wire[8:0]  wr_data_count;  ///产生FIFO写入的数据always@(posedge clk or negedge rst_n)begin if(rst_n == 1'b0) write_state <= W_IDLE; else write_state <= next_write_state;endalways@(*)begin case(write_state) W_IDLE: if(empty == 1'b1)               //FIFO空, 开始写FIFO next_write_state <= W_FIFO; else next_write_state <= W_IDLE; W_FIFO: if(full == 1'b1)                //FIFO满 next_write_state <= W_IDLE; else next_write_state <= W_FIFO; default: next_write_state <= W_IDLE; endcaseendassign wr_en = (next_write_state == W_FIFO) ? 1'b1 : 1'b0; always@(posedge clk or negedge rst_n)begin if(rst_n == 1'b0) w_data <= 16'd0; else    if (wr_en == 1'b1)     w_data <= w_data + 1'b1; else          w_data <= 16'd0; end///产生FIFO读的数据always@(posedge clk or negedge rst_n)begin if(rst_n == 1'b0) read_state <= R_IDLE; else read_state <= next_read_state;endalways@(*)begin case(read_state) R_IDLE: if(full == 1'b1)               //FIFO满, 开始读FIFO next_read_state <= R_FIFO; else next_read_state <= R_IDLE; R_FIFO: if(empty == 1'b1)   

    标签: fpga fifo verilog quartus

    上传时间: 2021-12-18

    上传用户:20125101110

  • 汽车图解说明

    汽车图解说明

    标签: 汽车 图解

    上传时间: 2013-04-15

    上传用户:eeworm

  • 汽车构造图解说明

    汽车构造图解说明

    标签: 汽车构造 图解

    上传时间: 2013-04-15

    上传用户:eeworm

  • PROE关系式参数详细说明

    PROE关系式参数详细说明

    标签: PROE 参数

    上传时间: 2013-06-16

    上传用户:eeworm

  • 电缆的型号、名称及用途 pdf版

    电缆的型号、名称及用途 pdf版

    标签: 电缆 型号

    上传时间: 2013-05-29

    上传用户:eeworm