虫虫首页|资源下载|资源专辑|精品软件
登录|注册

千兆

  • 具备GMII接口和ARP协议功能的千兆以太网控制器

    具备GMII接口和ARP协议功能的千兆以太网控制器

    标签: 接口 以太网控制器

    上传时间: 2022-06-24

    上传用户:2431247090

  • FPGA与PC间基于PCIe和千兆以太网的通信设计

    1.深入研究PCIe和千兆以太网,了解PCIe和千兆以太网的技术优势,具体分析PCle和千兆以太网的传输协议,详细说明PCleTLP数据包格式和以太网标2.完成PCIe DMA数据传输系统设计。设计方案主要包括两大部分,分别是FPGA端Verilog逻辑模块开发以及PC端的驱动和C应用程序开发。FPGA端基于PCle IP Core完成了发送接收引擎模块、寄存器读写控制模块和FIFO读写控制模块的设计。定义了相应模块的接口,并分析了数据传输的时序。PC端采用WinDriver进行PCle的驱动开发,并根据WinDriver提供的驱动API函数完成C应用程序的设计。3.完成千兆以太网数据传输系统设计。设计方案也主要包括两大部分,分别是FPGA端Verilog逻辑模块开发以及PC端Winpcap应用程序开发。FPGA端基于嵌入式三态以太网MACIPCore,设计了发送接收引擎模块、FIFO读写控制模块和物理接口模块。定义了相应模块的接口,并分析了数据传输经过Locallink接口和Client用户接口上的传输时序。PC端采用Winpcap提供的网络编程完成了C应用程序的设计,实现了捕获FPGA端发送的数据包以及发送原始数据包至FPGA端的功能。4.PCIe DMA数据传输系统和千兆以太网数据传输系统在Xilinx ML507开发板上进行了性能测试。记录FPGA与PC间进行读写测试的结果,验证这两个系统的可用性和稳定性,最后分析了影响系统传输速率的原因以及系统目前仍存在的不足。

    标签: fpga pc pcie 以太网 通信

    上传时间: 2022-07-11

    上传用户:xsr1983

  • 用RTL8367RB打造的五口全千兆交换机(PCB)

    用RTL8367RB打造的五口全千兆交换机(PCB)

    标签: rtl8367rb 交换机 pcb

    上传时间: 2022-07-28

    上传用户:qingfengchizhu

  • 基于FPGA的硬件防火墙设计和实现.rar

    本文提出了一种基于FPGA的硬件防火墙的实现方案,采用了FPGA来实现千兆线速的防火墙。传统的基于X86等通用CPU的防火墙无法支撑快速增长的网络速度,无法实现线速过滤和转发。本文在采用FPGA可编程器件+通用CPU模式下,快速处理网络数据。网络数据在建立连接跟踪后,直接由FPGA实现的快速处理板直接转发,实现了网络数据的线速处理,通用CPU在操作系统支持下,完成网络数据的连接跟踪的创建、维护,对网络规则表的维护等工作。FPGA硬件板和CPU各司所长,实现快速转发的目的。 本文设计了基于FPGA的硬件板的硬件规格,提出了硬件连接跟踪表的存储模式,以及规则表的存储模式和定义等; 防火墙系统软件采用NetBSD操作系统,完成了硬件板的NetBSD的驱动;在软件系统完成了新建连接的建立、下发、老化等工作;在连接跟踪上完成了规则的建立、删除、修改等工作。 本文完成了防火墙的实现。实现了基于连接跟踪的包过滤、地址转换(NAT),设计了连接跟踪的关键数据结构,包过滤的关键数据结构等,重用了NetBSD操作系统的路由。本文针对地址转换应用程序的穿透问题,新增了部分实现。 在DoS攻击是一种比较常见的攻击网络手段,本文采用了软硬件结合的方法,不仅在软件部分做了完善,也在硬件部分采取了相应的措施,测试数据表明,对常见的Syn洪水攻击效果明显。 在实践过程中,我们发现了NetBSD操作系统内核的软件缺陷,做了修正,使之更完善。 经过测试分析,本方案不仅明显的优于X86方案,和基于NP方案、基于ASIC方案比较,具有灵活、可配置、易升级的优点。

    标签: FPGA 硬件 防火墙

    上传时间: 2013-06-21

    上传用户:zxh1986123

  • 基于FPGA的对象存储控制器原型的硬件设计与实现.rar

    本文对基于FPGA的对象存储控制器原型的硬件设计进行了研究。主要内容如下: ⑴研究了对象存储控制器的硬件设计,使其高效完成对象级接口的智能化管理和复杂存储协议的解析,对对象存储系统整体性能提升有重要意义。基于SoPC(片上可编程系统)技术,在FPGA(现场可编程门阵列)上实现的对象存储控制器,具有功能配置灵活,调试方便,成本较低等优点。 ⑵采用Cyclone II器件实现的对象存储控制器的网络接口,包含处理器模块、内存模块、Flash模块等核心组成部分,提供千兆以太网的网络接口和PCI(周边元件扩展接口)总线的主机接口,还具备电源模块、时钟模块等以保证系统正常运行。在设计实现PCB(印制电路板)时,从叠层设计、布局、布线、阻抗匹配等多方面解决高达100MHz的全局时钟带来的信号完整性问题,并基于IBIS模型进行了信号完整性分析及仿真。针对各功能模块提出了相应的调试策略,并完成了部分模块的调试工作。 ⑶提出了基于Virtex-4的对象存储控制器系统设计方案,Virtex-4内嵌PowerPC高性能处理器,可更好地完成对象存储设备相关的控制和管理工作。实现了丰富的接口设计,包括千兆以太网、光纤通道、SATA(串行高级技术附件)等网络存储接口以及较PCI性能更优异的PCI-X(并连的PCI总线)主机接口;提供多种FPGA配置方式。使用Cadence公司的Capture CIS工具完成了该系统硬件的原理图绘制,通过了设计规则检查,生成了网表用作下一步设计工作的交付文件。

    标签: FPGA 对象存储 原型

    上传时间: 2013-04-24

    上传用户:lijinchuan

  • 基于FPGA安全监控系统的数字视频处理.rar

    随着经济的发展,生活水平的逐步提高,购置房屋和车辆的人越来越多,但安全问题也给人们带来巨大的经济损失。与此同时,相应的安全防盗系统也应运而生。目前市场上,低端的方案是利用单片机和通讯单元相结合构成系统。这种系统虽然价格便宜,实现起来也相对简单,但是功能不够完善,不能实现正真的影、音、像图文全方位监控。而高端的方案则使用专用集成电路,虽然功能强大,但是价格昂贵,并且对于新的接口标准存在兼容性问题,而且也不易升级。 基于FPGA的安全监控系统,是FPGA和通讯单元相结合的产物。其核心FPGA可多次配置,灵活性强,在性能和价格中找到一个很好的平衡。其易于维护和升级,以满足市场上不断推陈出的新的接口标准。 整个系统将是对视频图像处理、图像加密技术、传感器、PIC总线通讯等诸多技术的整合。而本文将侧重于论述该系统中视频图像处理、控制接口和视频传送部分的内容。全文分为五个章节,第一章简要介绍了视频信号处理的原理和结构,对一些专业术语进行介绍,并展示了通用的视频处理过程。第二章针对监控系统的案例,对视频信号处理模块的解决方案进行论述,将实际的视频信号处理划分为转换、计算和传送三个子模块,并且分别进行功能介绍。第三章着重介绍视频转换和视频计算两大模块,对相应的接口配置和模块主要代码实现作了深入分析。第四章将论述视频处理中的重要课题:数字图像的压缩技术,并对相应的重要模块和关键步骤作实际建模分析。第五章将探讨视频传送的相关技术,介绍传统的Camera-Link标准和最新的千兆以太网传送标准,对可行性应用进行了比较。

    标签: FPGA 安全监控

    上传时间: 2013-07-16

    上传用户:xymbian

  • 毕业论文.rar

    本人撰写的2010届毕业设计论文,主要涉及以太网五口千兆交换机的设计与制作

    标签: 毕业论文

    上传时间: 2013-06-25

    上传用户:LSPSL

  • 采用FPGA实现基于ATCA架构的2.5Gbps串行背板接口

    当前,在系统级互连设计中高速串行I/O技术迅速取代传统的并行I/O技术正成为业界趋势。人们已经意识到串行I/O“潮流”是不可避免的,因为在高于1Gbps的速度下,并行I/O方案已经达到了物理极限,不能再提供可靠和经济的信号同步方法。基于串行I/O的设计带来许多传统并行方法所无法提供的优点,包括:更少的器件引脚、更低的电路板空间要求、减少印刷电路板(PCB)层数、PCB布局布线更容易、接头更小、EMI更少,而且抵抗噪声的能力也更好。高速串行I/O技术正被越来越广泛地应用于各种系统设计中,包括PC、消费电子、海量存储、服务器、通信网络、工业计算和控制、测试设备等。迄今业界已经发展出了多种串行系统接口标准,如PCI Express、串行RapidIO、InfiniBand、千兆以太网、10G以太网XAUI、串行ATA等等。 Aurora协议是为私有上层协议或标准上层协议提供透明接口的串行互连协议,它允许任何数据分组通过Aurora协议封装并在芯片间、电路板间甚至机箱间传输。Aurora链路层协议在物理层采用千兆位串行技术,每物理通道的传输波特率可从622Mbps扩展到3.125Gbps。Aurora还可将1至16个物理通道绑定在一起形成一个虚拟链路。16个通道绑定而成的虚拟链路可提供50Gbps的传输波特率和最大40Gbps的全双工数据传输速率。Aurora可优化支持范围广泛的应用,如太位级路由器和交换机、远程接入交换机、HDTV广播系统、分布式服务器和存储子系统等需要极高数据传输速率的应用。 传统的标准背板如VME总线和CompactPCI总线都是采用并行总线方式。然而对带宽需求的不断增加使新兴的高速串行总线背板正在逐渐取代传统的并行总线背板。现在,高速串行背板速率普遍从622Mbps到3.125Gbps,甚至超过10Gbps。AdvancedTCA(先进电信计算架构)正是在这种背景下作为新一代的标准背板平台被提出并得到快速的发展。它由PCI工业计算机制造商协会(PICMG)开发,其主要目的是定义一种开放的通信和计算架构,使它们能被方便而迅速地集成,满足高性能系统业务的要求。ATCA作为标准串行总线结构,支持高速互联、不同背板拓扑、高信号密度、标准机械与电气特性、足够步线长度等特性,满足当前和未来高系统带宽的要求。 采用FPGA设计高速串行接口将为设计带来巨大的灵活性和可扩展能力。Xilinx Virtex-IIPro系列FPGA芯片内置了最多24个RocketIO收发器,提供从622Mbps到3.125Gbps的数据速率并支持所有新兴的高速串行I/O接口标准。结合其强大的逻辑处理能力、丰富的IP核心支持和内置PowerPC处理器,为企业从并行连接向串行连接的过渡提供了一个理想的连接平台。 本文论述了采用Xilinx Virtex-IIPro FPGA设计传输速率为2.5Gbps的高速串行背板接口,该背板接口完全符合PICMG3.0规范。本文对串行高速通道技术的发展背景、现状及应用进行了简要的介绍和分析,详细分析了所涉及到的主要技术包括线路编解码、控制字符、逗点检测、扰码、时钟校正、通道绑定、预加重等。同时对AdvancedTCA规范以及Aurora链路层协议进行了分析, 并在此基础上给出了FPGA的设计方法。最后介绍了基于Virtex-IIPro FPGA的ATCA接口板和MultiBERT设计工具,可在标准ATCA机框内完成单通道速率为2.5Gbps的全网格互联。

    标签: FPGA ATCA Gbps 2.5

    上传时间: 2013-05-28

    上传用户:frank1234

  • 基于FPGA的高速IPSec协议实现技术研究

    随着国际互联网络的迅猛发展,网络应用的不断丰富,Intenret已经从最初以学术交流为目的而演变为商业行为,网络安全性需求日益增加,高速网络安全保密成为关注的焦点,在安全得到保障的情况下,为了满足网速无限制的追求,高速网络硬件加密设备也必将成为需求热点。另一方面,IPSec协议被广泛的应用于防火墙和安全网关中,但对IPSec协议的处理会大大增加网关的负载,成为千兆网实现的瓶颈。本文便是针对上述现状,研究基于高性能FPGA实现千兆IPSec协议的设计技术。 目前,国外IPSec协议实现已经芯片化,达到几千兆的速率,但是国内产品多以软件实现,速度难以提高。本文采用的基于FPGA的IPSec技术方案,采用硬件实现隧道模式下的IPSec协议,为IP分组及其上层协议数据提供机密性、数据完整性验证以及数据源验证等安全服务。在以VPN为实施方案的基础上,构建了以KDIPSec为设备原型以IPSec协议为出发点的千兆网络系统环境模型,从硬件体系结构到各个模块的划分以及各个模块实现的功能这几个方面描述了KDIPSec实现技术,最后描述了一些关键模块的FPGA设计和和仿真。所有处理模块均在Xilinx公司的FPGA芯片中实现,处理速率超过1Gb/s。

    标签: IPSec FPGA 协议 实现技术

    上传时间: 2013-07-02

    上传用户:wfl_yy

  • LOBS边缘节点突发包组装和光板FPGA实现

    近年来提出的光突发交换OBS(Optical.Burst Switching)技术,结合了光路交换(OCS)与光分组交换(OPS)的优点,有效支持高突发、高速率的多种业务,成为目前研究的热点和前沿。 本论文围绕国家“863”计划资助课题“光突发交换关键技术和试验系统”,主要涉及两个方面:LOBS边缘节点核心板和光板FPGA的实现方案,重点关注于边缘节点核心板突发包组装算法。 本文第一章首先介绍LOBS网络的背景、架构,分析了LOBS网络的关键技术,然后介绍了本论文后续章节研究的主要内容。 第二章介绍了LOBS边缘节点的总体结构,主要由核心板和光板组成。核心板包括千兆以太网物理层接入芯片,突发包组装FPGA,突发包调度FPGA,SDRAM以及背板驱动芯片($2064)等硬件模块。光板包括$2064,发射FPGA,接收FPGA,光发射机,光接收机,CDR等硬件模块。论文对这些软硬件资源进行了详细介绍,重点关注于各FPGA与其余硬件资源的接口。 第三章阐明了LOBS边缘节点FPGA的具体实现方法,分为核心板突发包组装FPGA和光板FPGA两部分。核心板FPGA对数据和描述信息分别存储,仅对描述信息进行处理,提高了组装效率。在维护突发包信息时,实时查询和更新FEC配置表,保证了对FEE状态表维护的灵活性。在读写SDRAM时都采用整页突发读写模式,对MAC帧整帧一次性写入,读取时采用超前预读模式,对SDRAM内存的使用采取即时申请方式,十分灵活高效。光板FPGA分为发射和接收两个方向,主要是将进入FPGA的数据进行同步后按照指定的格式发送。 第四章总结了论文的主要内容,并对LOBS技术进行展望。本论文组帧算法采用动态组装参数表的方法,可以充分支持各种扩展,包括自适应动态组装算法。

    标签: LOBS FPGA 节点

    上传时间: 2013-05-26

    上传用户:AbuGe