虫虫首页|资源下载|资源专辑|精品软件
登录|注册

减法器

  • 32位单精度浮点加法器

    32位单精度浮点加法器。进行用加法运算,仿真输出

    标签: 精度 浮点 加法器

    上传时间: 2013-04-24

    上传用户:x4587

  • Altera的FPGA设计的硬件除法器

    Altera的FPGA,设计的硬件除法器

    标签: Altera FPGA 硬件 除法器

    上传时间: 2013-08-09

    上传用户:坏天使kk

  • GF_2_m_域乘法器的快速设计及FPGA实现

    GF_2_m_域乘法器的快速设计及FPGA实现,对于rs编翼码的理解和设计有帮助

    标签: FPGA GF 乘法器

    上传时间: 2013-08-16

    上传用户:tangsiyun

  • 用vhdl实现的除法器

    用vhdl实现的除法器

    标签: vhdl 除法器

    上传时间: 2013-08-28

    上传用户:wd450412225

  • 数控振荡器的频率控制字寄存器、相位控制字寄存器、累加器和加法器可以用VHDL语言描述

    数控振荡器的频率控制字寄存器、相位控制字寄存器、累加器和加法器可以用VHDL语言描述,集成在一个模块中,提供VHDL源程序供大家学习和讨论。\r\n

    标签: VHDL 寄存器 数控振荡器 加法器

    上传时间: 2013-09-03

    上传用户:a471778

  • 模拟乘法器ADL5391的原理与应用

    简单介绍了ADI公司推出的新一代高性能模拟乘法器ADL5391的主要特性和工作原理。给出了基于ADL5391的宽带乘法器的典型应用电路,并对其进行了测试。最后设计了基于ADL5391的二倍频电路,测试结果表明该二倍频电路具有性能稳定、工作频带宽、测量精度高、抗干扰能力强等优点。

    标签: 5391 ADL 模拟乘法器

    上传时间: 2013-10-25

    上传用户:FreeSky

  • FPU加法器的设计与实现

    浮点运算器的核心运算部件是浮点加法器,它是实现浮点指令各种运算的基础,其设计优化对于提高浮点运算的速度和精度相当关键。文章从浮点加法器算法和电路实现的角度给出设计方法,通过VHDL语言在QuartusII中进行设计和验证,此加法器通过状态机控制运算,有效地降低了功耗,提高了速度,改善了性能。

    标签: FPU 加法器

    上传时间: 2014-01-18

    上传用户:xauthu

  • 定点乘法器设计(中文)

       定点乘法器设计(中文)  运算符:   + 对其两边的数据作加法操作; A + B   - 从左边的数据中减去右边的数据; A - B   - 对跟在其后的数据作取补操作,即用0减去跟在其后的数据; - B   * 对其两边的数据作乘法操作; A * B   & 对其两边的数据按位作与操作; A & B   # 对其两边的数据按位作或操作; A # B   @ 对其两边的数据按位作异或操作; A @ B   ~ 对跟在其后的数据作按位取反操作; ~ B   << 以右边的数据为移位量将左边的数据左移; A << B   $ 将其两边的数据按从左至右顺序拼接; A $ B

    标签: 定点 乘法器设计

    上传时间: 2013-12-17

    上传用户:trepb001

  • 模拟乘法器及其在运算电路中的应用

      模拟乘法器在运算电路中的应用   8.6.1 乘法运算电路   8.6.2 除法运算电路   8.6.3 开方运算电路

    标签: 模拟乘法器 中的应用 运算电路

    上传时间: 2013-10-10

    上传用户:270189020

  • 基于选择进位32位加法器的硬件电路实现

    为了缩短加法电路运行时间,提高FPGA运行效率,利用选择进位算法和差额分组算法用硬件电路实现32位加法器,差额分组中的加法单元是利用一种改进的超前进位算法实现,选择进位算法可使不同的分组单元并行运算,利用低位的运算结果选择高位的进位为1或者进位为零的运算结果,节省了进位选择等待的时间,最后利用XILINX进行时序仿真,在FPGA上进行验证,可稳定运行在高达50兆的频率,理论分析与计算机仿真表明该算法切实可行、有效并且易于实现。

    标签: 进位 加法器 硬件 电路实现

    上传时间: 2013-12-19

    上传用户:jshailingzzh