虫虫首页|资源下载|资源专辑|精品软件
登录|注册

二维小波变换

  • 本程序实现任意偶数大小图像第二代双正交97提升小波变换 注1: 采用标准正交方法

    本程序实现任意偶数大小图像第二代双正交97提升小波变换 注1: 采用标准正交方法,对行列采用不同矩阵(和matlab里不同) 注2: 为了保证正交,所有边界处理,全部采用循环处理 注3: 正交性验证,将单位阵带入函数,输出仍是单位阵(matlab不具有此性质) 注4: 此程序是矩阵实现,所以图像水平分量和垂直分量估计被交换位置 注5: 此程序实现的是类小波(wavelet-like)变换,是介于小波包变换与小波变换之间的变换 注6: 此程序每层变换相对原图像矩阵,产生的矩阵都是正交阵,这和小波包一致 注7: 但小波变换每层产生的矩阵,是相对每个待分解子块的正交矩阵,而不是原图像的正交矩阵 注8: 且小波变换产生的正交矩阵维数,随分解层数2分减少 注9: 提升系数可以在MATLAB7.0以上版本,用liftwave( 9.7 )获取,这里直接给出,考虑兼容性 注10:由于MATLAB数组下标从1开始,所以注意奇偶序列的变化 注11:d为对偶上升,即预测;p为原上升,即更新

    标签: 程序 图像 变换

    上传时间: 2016-08-09

    上传用户:lanjisu111

  • 对信号进行小波变换

    对信号进行小波变换,小波变换的分解与从构,以及一维静态离散小波分解

    标签: 信号 小波变换

    上传时间: 2017-06-04

    上传用户:haohaoxuexi

  • 《Visual C++小波变换技术与工程实践》作者:靳济芳。书上的代码。第2章:小波的细化

    《Visual C++小波变换技术与工程实践》作者:靳济芳。书上的代码。第2章:小波的细化,一维数字信号的小波分析的代码。供大家参考。

    标签: Visual 小波变换 代码 工程实践

    上传时间: 2017-06-04

    上传用户:tedo811

  • 关于小波变换的Mallat运算

    关于小波变换的Mallat运算,是一维数据的Mallat

    标签: Mallat 小波变换 运算

    上传时间: 2014-11-29

    上传用户:mikesering

  • 小波变换实例

    在MATLAB环境下的小波变换实例,对信号进行一维变换

    标签: matlab 小波变换

    上传时间: 2016-02-03

    上传用户:hyt2367

  • 小波变换C

    去除掉信号的噪声,多种方法,包括图像处理,是学习小波变换的不二选择

    标签: 信号的小波处理

    上传时间: 2016-05-31

    上传用户:YEEXIS

  • 基于DSP+FPGA的小波变换实时图像处理系统设计

      本课题设计和完成了一套基于DSP+FPGA结构的小波变换实时图像处理系统。采用小波算法对图像进行边缘提取、图像增强、图像融合等处理,并在ADSP-BF535上实现了小波算法,分析了其运行小波算法的性能。图像处理的数据量比较大,而且运算比较复杂,DSP的特殊结构和性能很好地满足了系统实现的需要,而FPGA的高速性和灵活性也满足了系统实时性和稳定性的需要,所以采用DSP+FPGA来实现图像处理系统是可靠的,也是可行的。系统的硬件设计以DSP和FPGA为平台,DSP实现算法、管理系统运行、并实现了系统的自启动;FPGA实现一些接口、时序控制等,简化了外围电路,提高了系统的可靠性。结果表明,在ADSP-BF535上实现小波算法,效果良好,而且满足系统实时性的要求。最后,总结了系统的设计和调试经验,对调试时遇到的一些问题进行了分析。

    标签: FPGA DSP 小波变换 实时图像

    上传时间: 2013-04-24

    上传用户:Kecpolo

  • 小波变换研究及其FPGA实现

    傅里叶变换是信号处理领域中较完善、应用较广泛的一种分析手段.但傅里叶变换只是一种时域或频域的分析方法,它要求信号具有统计平稳,即时不变的特性.但是实际应用中存在很多非平稳信号,它们并不能很好的用傅立叶变换来处理.小波变换的出现解决了这个问题,它在处理非平稳信号方面具有傅立叶变换无法比拟的优越性.小波变换在通信技术、信号处理、地球物理、水利电力、医疗等领域中获得了日益广泛的应用.小波变换的研究成为了当今学术界的一个热点.随着现代数字信号处理朝着高速实时的方向发展,纯软件的程序式信号处理方法越来越不能满足实际应用的需求,因此人们希望用硬件电路来实现高速信号处理问题.基于以上原因,该文在研究了小波变换的基本理论和特点的基础上,重点研究了小波变换的VLSI电路构架,并用FPGA实现了它的功能.毫无疑问,该文所做的具体工作在理论和实践上都有参考价值.论文中,在简单介绍了小波变换的基本理论、特点和应用;对信号小波变换分解,重构的MATLAB算法进行了分析,为硬件实现奠定了理论基础.论文在研究了小波核心算法MALLAT算法的基础上,以直观的图形方式描述了算法的流程图;并由此提出了基于VLSI的电路模块架构.根据上述模块结构,对相关模块进行了硬件描述语言(VERILOG-HDL)的建模,并且在仿真平台上(ACTIVE-HDL)进行了仿真.在仿真正确的前提下,该文选用了EP20K100BC356-1V芯片作为目标器件进行了综合和后仿真,并且将仿真结果通过MATLAB与理论参数进行了比较,结果表明设计是正确的.对设计中存在的误差和部分模块的进一步优化,该文也作了分析和说明,为下一步实现通用IP核设计奠定了基础.

    标签: FPGA 小波变换

    上传时间: 2013-06-27

    上传用户:zhaoq123

  • 期刊论文:小波变换在数字图像处理中的应用

    ·期刊论文:小波变换在数字图像处理中的应用

    标签: 论文 小波变换 中的应用 数字图像处理

    上传时间: 2013-06-22

    上传用户:cuicuicui

  • 期刊论文:测井曲线的小波变换特性在自动分层中的应用

    ·期刊论文:测井曲线的小波变换特性在自动分层中的应用

    标签: 论文 测井曲线 小波变换 分层

    上传时间: 2013-07-13

    上传用户:youke111